# Copyright (c) 2018, Foundries.io # SPDX-License-Identifier: Apache-2.0 # Common fields for UART devices include: base.yaml on-bus: uart properties: label: required: true