From 151f431efa7f07b8bb80e3d118f5d1a80bd89406 Mon Sep 17 00:00:00 2001 From: Ramakrishna Pallala Date: Mon, 11 Dec 2017 07:48:35 -0500 Subject: [PATCH] arch: nios2: update nios2 softcpu image Update nios2 softcpu image which supports additional soft IP's like I2C, SPI, SGDMA, QSPI, SysID, etc... Signed-off-by: Ramakrishna Pallala --- .../soc/nios2f-zephyr/cpu/ghrd_10m50da.dpf | 16 - .../soc/nios2f-zephyr/cpu/ghrd_10m50da.qsf | 19 +- .../soc/nios2f-zephyr/cpu/ghrd_10m50da.qsys | 695 +- .../soc/nios2f-zephyr/cpu/ghrd_10m50da.qws | Bin 613 -> 0 bytes .../soc/nios2f-zephyr/cpu/ghrd_10m50da.sof | Bin 3291993 -> 3339471 bytes .../nios2f-zephyr/cpu/ghrd_10m50da.sopcinfo | 9653 ++++++++++++++++- .../soc/nios2f-zephyr/cpu/ghrd_10m50da_top.v | 97 +- .../soc/nios2f-zephyr/cpu/ghrd_timing.sdc | 10 +- arch/nios2/soc/nios2f-zephyr/cpu/stp1.stp | 1045 -- arch/nios2/soc/nios2f-zephyr/include/linker.h | 6 +- arch/nios2/soc/nios2f-zephyr/include/system.h | 231 +- 11 files changed, 10448 insertions(+), 1324 deletions(-) delete mode 100644 arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.dpf delete mode 100644 arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.qws delete mode 100644 arch/nios2/soc/nios2f-zephyr/cpu/stp1.stp diff --git a/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.dpf b/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.dpf deleted file mode 100644 index 688235e4a0e..00000000000 --- a/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.dpf +++ /dev/null @@ -1,16 +0,0 @@ - - - - - - - - - - - - - - - - diff --git a/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.qsf b/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.qsf index 4c82e5a0cb9..069444ae537 100644 --- a/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.qsf +++ b/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.qsf @@ -41,7 +41,7 @@ set_global_assignment -name FAMILY "MAX 10" set_global_assignment -name DEVICE 10M50DAF484C6GES set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.0.0 set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:01:48 APRIL 27, 2016" -set_global_assignment -name LAST_QUARTUS_VERSION 16.0.0 +set_global_assignment -name LAST_QUARTUS_VERSION "17.0.0 Standard Edition" set_global_assignment -name TOP_LEVEL_ENTITY ghrd_10m50da_top set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name UNIPHY_SEQUENCER_DQS_CONFIG_ENABLE ON @@ -52,7 +52,7 @@ set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE SPEED set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS ON set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS" set_global_assignment -name FITTER_EFFORT "STANDARD FIT" -set_global_assignment -name ENABLE_SIGNALTAP OFF +set_global_assignment -name ENABLE_SIGNALTAP ON set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON @@ -74,7 +74,7 @@ set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall -set_global_assignment -name SEED 2 +set_global_assignment -name SEED 16 set_global_assignment -name OPTIMIZATION_MODE "AGGRESSIVE PERFORMANCE" set_global_assignment -name ROUTER_REGISTER_DUPLICATION ON set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION ALWAYS @@ -396,4 +396,17 @@ set_global_assignment -name VERILOG_FILE ghrd_10m50da_top.v set_global_assignment -name QIP_FILE ghrd_10m50da/synthesis/ghrd_10m50da.qip set_global_assignment -name SDC_FILE ghrd_timing.sdc +set_location_assignment PIN_A10 -to i2c_scl +set_location_assignment PIN_B15 -to i2c_sda +set_location_assignment PIN_B7 -to spi_sclk +set_location_assignment PIN_A6 -to spi_miso +set_location_assignment PIN_C8 -to spi_mosi +set_location_assignment PIN_C7 -to spi_ssn +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to spi_miso +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to spi_mosi +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to spi_sclk +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to spi_ssn +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to fpga_reset_n +set_global_assignment -name USE_SIGNALTAP_FILE output_files/uart.stp +set_global_assignment -name SIGNALTAP_FILE output_files/uart.stp set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.qsys b/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.qsys index 4bee9eff12e..f816798222c 100644 --- a/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.qsys +++ b/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.qsys @@ -26,10 +26,18 @@ } datum baseAddress { - value = "4456448"; + value = "1048576"; type = "String"; } } + element altpll_0 + { + datum _sortIndex + { + value = "10"; + type = "int"; + } + } element clk_0 { datum _sortIndex @@ -38,6 +46,46 @@ type = "int"; } } + element ext_flash + { + datum _sortIndex + { + value = "11"; + type = "int"; + } + } + element ext_flash.avl_csr + { + datum baseAddress + { + value = "1049152"; + type = "String"; + } + } + element ext_flash.avl_mem + { + datum baseAddress + { + value = "134217728"; + type = "String"; + } + } + element i2c_0 + { + datum _sortIndex + { + value = "8"; + type = "int"; + } + } + element i2c_0.csr + { + datum baseAddress + { + value = "1049088"; + type = "String"; + } + } element jtag_uart_0 { datum _sortIndex @@ -59,6 +107,46 @@ type = "String"; } } + element led + { + datum _sortIndex + { + value = "12"; + type = "int"; + } + } + element led.s1 + { + datum baseAddress + { + value = "1049312"; + type = "String"; + } + } + element msgdma_0 + { + datum _sortIndex + { + value = "7"; + type = "int"; + } + } + element msgdma_0.csr + { + datum baseAddress + { + value = "1049280"; + type = "String"; + } + } + element msgdma_0.descriptor_slave + { + datum baseAddress + { + value = "1049328"; + type = "String"; + } + } element nios2_gen2_0 { datum _sortIndex @@ -135,6 +223,38 @@ type = "String"; } } + element spi_0 + { + datum _sortIndex + { + value = "9"; + type = "int"; + } + } + element spi_0.spi_control_port + { + datum baseAddress + { + value = "1049216"; + type = "String"; + } + } + element sysid + { + datum _sortIndex + { + value = "13"; + type = "int"; + } + } + element sysid.control_slave + { + datum baseAddress + { + value = "1049344"; + type = "String"; + } + } element timer_0 { datum _sortIndex @@ -152,7 +272,7 @@ } datum baseAddress { - value = "4456960"; + value = "1049248"; type = "String"; } } @@ -188,11 +308,31 @@ type="conduit" dir="end" /> + + + + @@ -203,18 +343,204 @@ - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + CT#PORT_clk5 PORT_UNUSED CT#PORT_clk4 PORT_UNUSED CT#PORT_clk3 PORT_UNUSED CT#PORT_clk2 PORT_UNUSED CT#PORT_clk1 PORT_UNUSED CT#PORT_clk0 PORT_USED CT#CLK0_MULTIPLY_BY 1 CT#PORT_SCANWRITE PORT_UNUSED CT#PORT_SCANACLR PORT_UNUSED CT#PORT_PFDENA PORT_UNUSED CT#PORT_PLLENA PORT_UNUSED CT#PORT_SCANDATA PORT_UNUSED CT#PORT_SCANCLKENA PORT_UNUSED CT#WIDTH_CLOCK 5 CT#PORT_SCANDATAOUT PORT_UNUSED CT#LPM_TYPE altpll CT#PLL_TYPE AUTO CT#CLK0_PHASE_SHIFT 0 CT#PORT_PHASEDONE PORT_UNUSED CT#OPERATION_MODE NORMAL CT#PORT_CONFIGUPDATE PORT_UNUSED CT#COMPENSATE_CLOCK CLK0 CT#PORT_CLKSWITCH PORT_UNUSED CT#INCLK0_INPUT_FREQUENCY 20000 CT#PORT_SCANDONE PORT_UNUSED CT#PORT_CLKLOSS PORT_UNUSED CT#PORT_INCLK1 PORT_UNUSED CT#AVALON_USE_SEPARATE_SYSCLK NO CT#PORT_INCLK0 PORT_USED CT#PORT_clkena5 PORT_UNUSED CT#PORT_clkena4 PORT_UNUSED CT#PORT_clkena3 PORT_UNUSED CT#PORT_clkena2 PORT_UNUSED CT#PORT_clkena1 PORT_UNUSED CT#PORT_clkena0 PORT_UNUSED CT#PORT_ARESET PORT_UNUSED CT#BANDWIDTH_TYPE AUTO CT#INTENDED_DEVICE_FAMILY {MAX 10} CT#PORT_SCANREAD PORT_UNUSED CT#PORT_PHASESTEP PORT_UNUSED CT#PORT_SCANCLK PORT_UNUSED CT#PORT_CLKBAD1 PORT_UNUSED CT#PORT_CLKBAD0 PORT_UNUSED CT#PORT_FBIN PORT_UNUSED CT#PORT_PHASEUPDOWN PORT_UNUSED CT#PORT_extclk3 PORT_UNUSED CT#PORT_extclk2 PORT_UNUSED CT#PORT_extclk1 PORT_UNUSED CT#PORT_PHASECOUNTERSELECT PORT_UNUSED CT#PORT_extclk0 PORT_UNUSED CT#PORT_ACTIVECLOCK PORT_UNUSED CT#CLK0_DUTY_CYCLE 50 CT#CLK0_DIVIDE_BY 2 CT#PORT_LOCKED PORT_UNUSED + altpll_avalon_elaboration + altpll_avalon_post_edit + IF#phasecounterselect {input 3} IF#locked {output 0} IF#reset {input 0} IF#clk {input 0} IF#phaseupdown {input 0} IF#scandone {output 0} IF#readdata {output 32} IF#write {input 0} IF#scanclk {input 0} IF#phasedone {output 0} IF#c4 {output 0} IF#c3 {output 0} IF#c2 {output 0} IF#address {input 2} IF#c1 {output 0} IF#c0 {output 0} IF#writedata {input 32} IF#read {input 0} IF#areset {input 0} IF#scanclkena {input 0} IF#scandataout {output 0} IF#configupdate {input 0} IF#phasestep {input 0} IF#scandata {input 0} + + IN#WIDTH_CLOCK 1 IN#CLK0_DUTY_CYCLE 1 IN#PLL_TARGET_HARCOPY_CHECK 1 IN#SWITCHOVER_COUNT_EDIT 1 IN#INCLK0_INPUT_FREQUENCY 1 IN#PLL_LVDS_PLL_CHECK 1 IN#PLL_AUTOPLL_CHECK 1 IN#PLL_FASTPLL_CHECK 1 IN#PLL_ENHPLL_CHECK 1 IN#DIV_FACTOR0 1 IN#LVDS_MODE_DATA_RATE_DIRTY 1 IN#GLOCK_COUNTER_EDIT 1 IN#CLK0_DIVIDE_BY 1 IN#MULT_FACTOR0 1 IN#CLK0_MULTIPLY_BY 1 IN#USE_MIL_SPEED_GRADE 1 + MF#areset 1 MF#clk 1 MF#locked 1 MF#inclk 1 + PT#GLOCKED_FEATURE_ENABLED 0 PT#SPREAD_FEATURE_ENABLED 0 PT#BANDWIDTH_FREQ_UNIT MHz PT#CUR_DEDICATED_CLK c0 PT#INCLK0_FREQ_EDIT 50.000 PT#BANDWIDTH_PRESET Low PT#PLL_LVDS_PLL_CHECK 0 PT#BANDWIDTH_USE_PRESET 0 PT#AVALON_USE_SEPARATE_SYSCLK NO PT#PLL_ENHPLL_CHECK 0 PT#OUTPUT_FREQ_UNIT0 MHz PT#PHASE_RECONFIG_FEATURE_ENABLED 1 PT#CREATE_CLKBAD_CHECK 0 PT#CLKSWITCH_CHECK 0 PT#INCLK1_FREQ_EDIT 100.000 PT#NORMAL_MODE_RADIO 1 PT#SRC_SYNCH_COMP_RADIO 0 PT#PLL_ARESET_CHECK 0 PT#LONG_SCAN_RADIO 1 PT#SCAN_FEATURE_ENABLED 1 PT#PHASE_RECONFIG_INPUTS_CHECK 0 PT#USE_CLK0 1 PT#PRIMARY_CLK_COMBO inclk0 PT#BANDWIDTH 1.000 PT#GLOCKED_COUNTER_EDIT_CHANGED 1 PT#PLL_FASTPLL_CHECK 0 PT#SPREAD_FREQ_UNIT KHz PT#PLL_AUTOPLL_CHECK 1 PT#LVDS_PHASE_SHIFT_UNIT0 deg PT#SWITCHOVER_FEATURE_ENABLED 0 PT#MIG_DEVICE_SPEED_GRADE Any PT#OUTPUT_FREQ_MODE0 1 PT#BANDWIDTH_FEATURE_ENABLED 1 PT#INCLK0_FREQ_UNIT_COMBO MHz PT#ZERO_DELAY_RADIO 0 PT#OUTPUT_FREQ0 25.00000000 PT#SHORT_SCAN_RADIO 0 PT#LVDS_MODE_DATA_RATE_DIRTY 0 PT#CUR_FBIN_CLK c0 PT#PLL_ADVANCED_PARAM_CHECK 0 PT#CLKBAD_SWITCHOVER_CHECK 0 PT#PHASE_SHIFT_STEP_ENABLED_CHECK 0 PT#DEVICE_SPEED_GRADE Any PT#PLL_FBMIMIC_CHECK 0 PT#LVDS_MODE_DATA_RATE {Not Available} PT#LOCKED_OUTPUT_CHECK 0 PT#SPREAD_PERCENT 0.500 PT#PHASE_SHIFT0 0.00000000 PT#DIV_FACTOR0 1 PT#CNX_NO_COMPENSATE_RADIO 0 PT#USE_CLKENA0 0 PT#CREATE_INCLK1_CHECK 0 PT#GLOCK_COUNTER_EDIT 1048575 PT#INCLK1_FREQ_UNIT_COMBO MHz PT#EFF_OUTPUT_FREQ_VALUE0 25.000000 PT#SPREAD_FREQ 50.000 PT#USE_MIL_SPEED_GRADE 0 PT#EXPLICIT_SWITCHOVER_COUNTER 0 PT#STICKY_CLK4 0 PT#STICKY_CLK3 0 PT#STICKY_CLK2 0 PT#STICKY_CLK1 0 PT#STICKY_CLK0 1 PT#EXT_FEEDBACK_RADIO 0 PT#MIRROR_CLK0 0 PT#SWITCHOVER_COUNT_EDIT 1 PT#SELF_RESET_LOCK_LOSS 0 PT#PLL_PFDENA_CHECK 0 PT#INT_FEEDBACK__MODE_RADIO 1 PT#INCLK1_FREQ_EDIT_CHANGED 1 PT#CLKLOSS_CHECK 0 PT#SYNTH_WRAPPER_GEN_POSTFIX 0 PT#PHASE_SHIFT_UNIT0 deg PT#BANDWIDTH_USE_AUTO 1 PT#HAS_MANUAL_SWITCHOVER 1 PT#MULT_FACTOR0 1 PT#SPREAD_USE 0 PT#GLOCKED_MODE_CHECK 0 PT#SACN_INPUTS_CHECK 0 PT#DUTY_CYCLE0 50.00000000 PT#INTENDED_DEVICE_FAMILY {MAX 10} PT#PLL_TARGET_HARCOPY_CHECK 0 PT#INCLK1_FREQ_UNIT_CHANGED 1 PT#RECONFIG_FILE ALTPLL1509345238202295.mif PT#ACTIVECLK_CHECK 0 + UP#locked used UP#c0 used UP#areset used UP#inclk0 used + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + + + + + + @@ -230,10 +556,67 @@ + + + + + + + + + + + + + + + + + + + + + + + ]]> + + ]]> + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -251,10 +634,10 @@ - + - ]]> + ]]> @@ -276,7 +659,7 @@ - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 @@ -292,15 +675,15 @@ - - ]]> + + ]]> - + @@ -327,6 +710,7 @@ + @@ -414,7 +798,7 @@ @@ -435,7 +819,7 @@ $${FILENAME}_onchip_memory2_0 @@ -444,14 +828,15 @@ - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + - + @@ -463,7 +848,30 @@ - + + + + + + + + + + + + + + + + + + + + @@ -477,7 +885,7 @@ @@ -486,16 +894,61 @@ - + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -504,7 +957,7 @@ @@ -513,7 +966,7 @@ @@ -522,7 +975,16 @@ + + + + + @@ -531,16 +993,43 @@ - + + + + + + + + + + + + + + + + @@ -549,7 +1038,7 @@ @@ -558,7 +1047,7 @@ @@ -566,99 +1055,193 @@ - - - + kind="avalon" + version="17.0" + start="msgdma_0.mm_read" + end="onchip_memory2_0.s1"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + version="17.0" + start="clk_0.clk_reset" + end="i2c_0.reset_sink" /> + + + + end="msgdma_0.reset_n" /> diff --git a/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.qws b/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.qws deleted file mode 100644 index 83db95ce95cd1c0e49afafa9127551d7f6d78de0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 613 zcmbV}y-or_6otlSQ<^Ntc*Qb*;z3lOJLQ)_%t@!%Tw6c`Ya;) z-C?(qnBYwA-|V^Po|!$Wt5{naD$-P$y1G}Yi3U_-&U$h=C?KBcL9se&V-{m23Uxr; z0vD>ujN zOM5#4Ms_*|ItBtES4$fc0#;5|0tOa(CRTbT21a%QWhnw>7ehM}LnjjgQ4>p-ulfLB z0OFTXMh00{1`$Cq77i9+HgQp900_YE3#L$G6BJ`tU|Jzi?$sb2~#D0u@7t|HA*)|G#jtuk?kh z+F9G#yW4S70=WNiZ4Urix+Sx`ryQKv=2>aH9sm-+;Op8x;h!MhKL3%X{>pEFkALR= zR|)YX{?+a)G5i($J@|h={QrvoDIo(8{sj{N2);BRfR}tefCNCe1N1NapXGn0iK+9~ zAoVQ_oh|5$EbYD!`Q3YbLKUhK_3*!yxdq6y06=(uRe&BC0ATOx;^69{Z}v5F&h+LM zPA2+{47RKcCWdrYCYAt?tP7nryFE4pU;1f3g8O+smK2mNLv%>CQMMkt*+pN?1~9>> z9}_XOP$a12%jezh6u+A)y#oi)7?24fI5Rl+$1!J9MrTB-DPl#Q(mkQ?df@%uZysx0A^y9|_e@_2K!baPt2FWu;tEV)8q-h=n&Ev&4%{{kTA)GPz>pc0JX zwG0=h82g>Cq@piv7(VKLzAuamAu9eZYt(>_#P!~&r{qk^v(M9D+6h6iIUhc|H{aTG zBu_Hsk=LT|B)kq)hFxu(&fC$V0C31qZ2ZnrISg^kbzvTq57xwQ0MJGWlj}k0&g@M19(WAzw}6(1fDx)1fh4I%?Q)QB;K~+Hu}gJI z%up43j&I9AvwN!g)8WHQ^?29W>V~2p$Emr40VA~%?~Wi!h4HCy-t2cH%G!KF5>alfBV}e1!Z{NK^}ydmuhB)y zLhK@@=xYH-4u48OX-2@QuRh`(OD>87_N$8!_X9J{5-KS8U5y%}%n~wKcH9IRE7f-A zpC>%6X%>XC-&7|5Dv6Ove*BZ|)-)@4td>wqn^@9~ERj~0Obg?Tk!J(C#-p*(yIf4^ zR)<)FuDjKBCc|QmxS2AI`(_(Xjs^CuEs}Ry-d|>BDawmRRhWkYvA|=FU49i)Hpjib!dzvdeN5s zkneV(XRFw0*IonKVb@<;s@Hs^fRvmO_nUMXcGa~c;Lo2F2zcjmSBNF2^2Vc|PHnPK zGq>xaa*d3rT6V98hM9@E2i3}(b#1ayJ{?#i_RmF@gnAdQXI=G_0~MXsp3Tv&tkxwb zH%*fxBk=UASL^LBH|Wx z0CYIOqJ{fW233klPP*w(MxLw>z4^944%Jz$fLz=)SZ>OR@2HRjDoYGG>>A$=8LR8- ze?hjb`6CQix2(Z;dOx?#P!9%$RF>|1{32Cfnj;eQrj?i6o3Tr?0 z+CR7cGebZ5K?zF$&e3MD<|D)w33_L!L?>J}jed_R%D~5x@d!a|KG4MmgXc-;LIgSe zI5(M)L(StD4{aD6gf0l)06i(nvJMJZLD{A;ka8nE^u)A~9DFIP*QU~Ib~z_Axe=Op zqj_}lMx=ZwEndX0muL3zzPW)mO_;GqXXa^teb4?`91nC=V^{Oh@pN{0iMFLfRC3g^ zp=Uqs9OqMg$yd+iqBShsoOttdp=lb0)l^_e{;_N$o?BZVBuisV!=!F?~6Luzh}OTIJ*!L z*csZI{y%H|v*2I$zAPF#|GlT!n%cSOyBXTJn*K*u1Yha@DkN|*^>E=OQdHJd)|V5M z6(#uhE(s(AmGzb76@(?_#N-JW3B*O^M3n?pB<1DwB}E7rSs9sFSXtRwnTY8Bt9SbU zt$QB&zaIHdPy7!<|66Y1YQ$ye>SF&_@iDY<(f)&A+{*6EWf=-sk z`tFu47W#JfCZ_u4rgmKab0s1I8%rZ6LnlvOqJJ~_AHMuw+Wgm${=WOp_ z{C86R1`oKp?wK49o!vs2B^zN}q031u!xK15Zc0}qZi{YaT=F6(ULUueOzBnGOQ8zq#E={K8+yCxd6()9ulsUKm5iR%_k*h*&|3q1BeZ8|Q96heWHo|tR3{12q=V2%L`^9)EyU5;<` zGz((qZPO1cmzxtqQhwL3wd@LX?d<(${EXbWdwkm0yYzn~Z86H9r_ z%XfOCGB44~JUzV^E^y|6-=u8h*2OSh4L=wTc#1da2%ncJRaf>JfyVGgV^;R%-;=;VBC)s^oFK^~{ zmV&Z86;ACGwav#I1?u8T$nv$ApB=$Z2REJeSwH1Y=9IiR1--v6jQN+h4!R1MvC6!5 zTx@d=fiIYsItAtr6|J>lrB*Mn&h`}!C~XW|@CpH`<@p|u+rHyb%lebN7zpS`vGu?{ z(?e1UsoFeE`UdxeZt)zqD1gAZ=-wxT#J`9k9G6IBa#veTBEsZ*Hm?=%r@_^TjU8Ry zGZUJc%fnz5HTvJ?lhT@$Z6vDxY4G)OYnl<$jQKGmg*owKk)0T}abnwI9iO7}X2YUs zG{>%Ojb@Z*HZHW|cDR=RwIlP5E$&_(qJG7}g_U-(U9Z$0WSRmfBbJM07W>N|HejAg z2og%j+wyPZ&o&oV!Mb=I;@!3f?bWSur5FKoc)3DAtO2I|U=7K`$$Nq5*YLGC??65+ zp9l9r_dp*YZrl7DB7U>bbvc!F>`NmD94>fkW$wI}?`jRnF0dVML51ia+X?lT3kEFI zBbaeMFm}m1$4)v8F?e+oK=kl&O~n!urtM{AN15=@m%sePr9PY%AO@9f)h&P8zkOh@ z(cV_JL6%fZP0jHsH&d2TZ*so;uHHefJbd9~>Xn*o<2JCm-t-peQ_RV|-B6?bw#u1H?(>Ho?K;V> z{ioG5T8nzNhqNj1FT9-QfMe<39P%`z`A4qjTQKBFHzp+~Hn$S5Ha-Y`ve_^~a};Enx#wq17=xIIGTsDYO|Z-1{7&WNPH7%|)0 zMF|(&Ex96y6|XVtrxfPe24hk&TY5~|LZs$I8W&dqZ2I6bDA%+vceHIq!kZ2$1yWa< zv?6)g3yEspc`B_?P7NPhW6q_FF3T}UMxZ!VWb)@Xms%RSa4IF7LLaW-!X9(!<}$Sw zjEt19+?sC|V7sh(4Kp;sANfUWiR)lsV&7j(YJSxbk040WhWOVVp%oE;rU`&1is!~4 zyWSPJf2Oc9D`~t0wTke4m~qFqx`4dCSXU+-=IY|PGy0p)1RLwV20XbCgFkS9^CRb& z*8Fst2P&Q(8XJ=Akyt<=qr#41;0T*cW8mNq>lJ)abv)A7tc>}QdkR&m(-cae$CXSt zlzU6Oct3_YShk0bEe%1;X;2LC@mRBR_r`UxoSn07#iiQx4WGAv*jJJjxb%>9GI0Fjq#OXCeJdt+! z*c>6_ETQ=v;!fz@A_7Xw>;L!@A!yg?Tbuy+VoP!{M zY}4ZbK6h(ZvgVU=Apr`X9@qbKWLTnyzPcFXO&r=psTTOj{-71*l}oWV`NP2l5PbH}?rLK3h zv2!vO_;`cR%RjEsRb<7_iYt7j%^vR0;c`>^fq- z&?|iY{Y+*)^>X6EwU>8{hiUfWF?q*=EltPG8>EQ46ASk+54CPP84m&Rn;uag6;b6s z#0&R*<^?LG)_qjCk*a;GK?`K9cQrO-l$M!S>k^wv zozl2Q_`br}U4rDiXAHm1e28}lq-#|g7#qu95u)sWKAjvHQ4##0W4BRdM0H4&bG2gs z3_wz!qZY}0<%p=L!Z61Zg-f#86P4A+ZHLs5Yw*A<;p)K9k{fXDubxd?d!ETi-eq+r ziluW`6&FIWb2N(gQ~bsV`K4Ts>C(;{^bC%sTmNA}3H(ix*(Rc#h0!sq`HdCB!O^KQ zqqHu~gm{S*J3R!ahRlkmSgvx3wa2v>sCtQ|$CYhmo)jwuNDrA6gP|W#6M+>a$>yZ{ zD?xMQ#wvg4w=B$xlp-!HsE*zI7M05qV#6Cv4NtSuJcdC8WG4yHJ(189tu>K`3q^1K zFGR0m)44I?`^50+67l0AUXf$c?=P+4)8C4I!)mLF9#bo~4-(xk^R?o~ zXSsiiqHNNL?_Cx8@$zbl(3!=LtuePN5nnPy`oD~s``3&81;!CyyudlEgS);4$RIS8 z(ohfx>FavwwFAk!$M?d7_|l;%jTICLM3iO zG(K2yeln}HYD>99KIvj47Fl%&T#l+WsYF`YenL?i8Mc2y8|j}PHA0VH1|#9gdA|hC z&oOV~9ho<2;T^PfT;(H}CRBM)msVxB-@e^E0wBLe1j{b!Wqiu$E1!jiEsBy@R_4vn zXbZlXH5BLQ^zh~XP7+}{3xu6S&SQO}7qWDTMLwCVuR1Z$uD)8H4<^Q}=`M=MA*42& z?ECJ}uZGHOZX~2cUJEnVy3VW-$mt6Hn<-(OJESOWuDBM)`G~5f?pu|nlbGu-y@0D9 zgZ9p*D)2jq84t}0J6ocDE1j5npPDvByTz}fR(hLyu8)Z8;&h|TiL*vU2)~GI2(C=- zHrlHMGaO7eY}jygQ77EGbe6|($Z=B&$s~Es`A5wK$pN#gtroj7<%K!tDq9+xJ+jb*`8hZQugGJ--7OL>?;$Y1BTizySD`QsT-X&ACaXFQ=+fBT^k+cI z;Ym6C8b^HW&N5BT^cur)`ixKy8Yk=mB{!tBfFCt~KG`tBl_=G+TP`=k4X0T1wK4Iz zzkIAuNFX{iA>Lc8f;Xbx1EQ9#OHR=LIbb6{{=jh>Yd;1?`2`dr)h}F0EiMq_Tv^;- zRc&?VAu>06OBcXbBYsyJ{3+&`E;UvyMw4~EVuR*ju?vg5IzJt%1?1(X$%EpoVJ9ZX(v%}h^d2Ztd_UaEECtFEe-9Vktb!)fnpwTXZxdgn>D!hd5^HN3XYBx(dQeRzd=m>sC<_HyEQle$v zr)RNjx{0oW;~U@-4C=c`D>+zqB;O|yf7H=e!htJ;3A{&Qs=KcoS@`DpSJgXGjw}XV z0p1fa)jj=E-#9^#_f;~}FeJx1wo6cC!EPajBF5Sdztrb?NV`$WvtKz*PGdRJ400-q z$^1nYV>yZr6;bB8Z_3qiM^n@-MbJ!ka+D>|PUL3N@yfPFMrvTLGb#jg+bL9~QN?f1 z;LWOnwqFKZ9i&m`MU}NK1)F|b4fO2-4Syf#BkJaf2obHT9Z_`mQBIgIM?EOJd-rnI0GW_!r4dv`XaacPRGALEwR8L2vmQI&r zXjs=*(FjdXqZXR!_@%#gMtl$J65YOVxU zgobve2zKyqdi1xbHgMTTTJbk3ILjMkK7=|N#NWH2RZ_F)#;S!r&93tys_Le*iB+Jq ztw4>q$q z!|4Yu%Xfzz1?qir7@G>}t)kd{(%;e-#OE>;4Ds&lG2In6>ef@&F(<_~ef(&1Tyec_ ztCQ-u=N9sDtJO^YI*yh|x!Jx@8$ZM;w>}%PCzi>c^@>Y8=-F>28G3Ylm=x- zN6TTc*qncf!^#(GB@T-hNf{JM(o46aCFXFB#ZnmSKnp(xf>2F5RYj~L-Ia!9#^msB z)tHDPNGis3I7>OPk0BF3p1IPw-d9fyhdhsDeAF{MDb_uCWt?d8t5J4B2n98cAR~&6 zHyjg}UsQL3<0nqQc*70xx~yI&@Q&T>I#=JueiWr4ldyZOUKFN5>EOYSUpjJST&c=q z2ToFb2X8AwQ(O}g6Lcjialg}<{NG^67Fx5~!Q=HMTe2VyFdO&dI!_kd$OMz+4HEdv|j8I%aH+39FKJ1bNp3)bn1Cmqe-_6OX2SKtOhNB6)+( z>%VEW?s+`6cNftRr#~m(gk{8LLHzLyrcA4%G3X(;@Xw92-1msTF#NG^LkhWSamNia z%zl8roLI2g70-69~N@8yzn{8I&GkyJHS>&9^mv3R@xA8W1<=;mVcug~9?S_?j1!^y)cq!TT z#VE>A`PQu2j`$*Exo7>MtXxuKFLcG@{VwB`>H}H43l?4F&J4gHP1m1b4 zbUnFVrxaJNHg*A77>359)V2&2{Qi2RoqG8&4ljDrq9EadR4_?MJPA+#PH_%PEHND z+T)*B_0PvWdi;U(E4gktoqpZ%R6*lInGL@#FAtsA3pHo2IUMd9EI+S$#~00CJKjfU zv)J!<3+8u|K7Vdfhr+r$zi%8*rYe&|TC-_&>#<_9cW`kSJ-Pn*WYOz}TeD@)D^q(d_jF!p`-p31|8%@#QyUXhPKw~} zTf(|~9dhB*lhx_o86T1`5tH_L80ymm-tN(5+FtLOJ^xu632rW#VI>XRXXyj^6YX-? zk8>-lB7)hwCDAP4b(@aAgK(;@kKO#26MvJP*2P(7roR0u{^m5f2Af;zCcFFF&1U-3 zWf=R8JdKk%T=e^sajlM^Di7 z2nTzd-~cLLyzor$9+;FKam+XRXs**c#c(i3iktk60~E{PKGzv66TgA*6f4+;hFs@S6Cm1;~vP2KFL!-u{@FjVejv4H;f|64RlUE zyS(&1K0}x6_WN$xW$d0@)1@q06HcYcdo*J~+|H?T+hdnO%w9~LmM|Yn&px|c^)RQk znS=gj%Wej8y}5A2DrO8g?SC#0i!E!iObyue4S`(4v!OZ==}AqkhcVuCZ%uYUF} z?5Finb^IE>qW!Q{{~6!3mT}WgD~kOsv3c&&UoL)7cpF2r@74z|0o-;^b_hM%-$8s# zEdsirtLyPO>Jb|R*Vl(2h95h_R_3$8p6YLa@_a$Nw{YV&>OS8i)w5=tzAq%VSwOm0 zeD1)O2~ja4yW{4hvX7#{6XjZ16rf+J)!T({8bx_)QTq zz`a$>_(1!FJH;0PMR&Zp_hr{Oe&5t(H{*Lg;YmI1ck0qIfqEeroZN4XL2d<@Bt0Kb zEj@~mm=%=F!4ej@Uu{h3zi#>+!R+6Okcb(d@O3;K)^vOCZ8J(2OHh3jxZ|5hHsna} zW^!Xsr9O8wRb4b7MpO;CX-(Rm)!C+)L{YAo39xHS2gz8BJ(=84=Nq|)f0}Ka>>BR* zS8FB+$1|2>`h?@A5fLMV?M(#I1gcrK%SZ1|evqRGwF16EictlQy*W9A33SAQPJ!Gi ze*4dF*&ui48}v3$U?KH`^eMf%hu6p@z5auvlb1&x@bqTVO}5U3OJ)A_ok|;=R5n$o zTrK=p_!c&xK#McQwpv*<>{_sL7*165&`pJIjbimc@{QVahpeKhCXyE`84KvJX6Uw( z!|LaWV;x^l6wttlu3S0*(v?*w^4C@ZO`LEwQEJgFzhN;#v{hrGu@I(lz5A`5 zOY2TM%zFr#8s;9enNu~5yPn6Iq`Rpz+vv8V!g>g+fHSEesoJdRJ?etYX8D*)6>nfm zxT)_~0`=&qFOV)QnjYh`1i^bJQbmIAGINTiiu#n^ktH&4Ul;uLMbbXsVtak0eNK%A z8KfyQL7_B|O}>%hRLvOMPi{ z`FB1kFzzuEeFi|T7S!Xor$At}<1^oax#zcdlxSXXm)=k@0LFdYnc`ZFjv5oM_ z`RP|k)obELsu$SG#2?XoqBNS%LmnTShBodpb=t2{mXbFb{e2k4KuKWH$lSOx9G$C) zaJAfG!)l0Jdw7DySVEH>D)7pYa@R*6bosiRCS9$KZNb$D9-9c&kfLlFYUd0K;R9DJ z2BBUA!6Cp!?jj@xBO@aJo^pf30cjl7ns}}LGvhSgd=MN&bvOHjSYCydg8L5iv&j@xI zlBam5idjZj)dz2?1Z9LalT9`+aAdqt;>s$%9o7kwQw_#Rnr$1u*L+yMtaqv;` zQ)9e=%pw$ATwm=Y^hr)(WwHi-mImN4 zUfLKFM0rlkSb5>U^p;Si*E)!%8{-*RF?=r($~*^BJRuvm@SB!I1xf`5<0^^(77aXE zgoV{1RsKd;@H78@ZoWTNCFC{ndg>PsHu4~>aLg*EO_?c-hYP225mn0?9HCSGdvvQptuTO zt;&;eLjWY&hqSNJ^>@(Oj8;Vd$-EZ>D{eB*(Fe(9fzx=^h3X$_6I@*wUpvUbKq<`d z<&yx+L^7f}wK<#jgzub{sG0=3tR>RI_5^>JuE|R!@Mx2G=S?vBSiYV9@-HsQ{GN2& z99x)J6bQp(r?^Gw6Y~SaSX%05KHw8^OOaCnMzcl(mjZVNl9#Cz8_Pmxv+$*k&cuuf zC#->a^b~$>^W+FfW)pA$b{*dPxF`Ix(A1%g#70FNd`q?w$6F{^7J)lR&z`myJgqo3 z`kTJ8$l}P3wSf4$Td}Bs$o=<>Xp_hmjKZiM6~aCMPwocSNxG>hT8TL{idBPBHlu6p zvRIJ6pfe>u{@iA61+(cO2F2U+{Ui@jFfg({b8ups2PnO945u{ zE?zm*5)p&Kv5o!h1P0={x;O~LBO7(Fjnztqang=iqeCWXw@KCBq7|*CalsK7RkE^S zx+O4D$X=2K69}_~`|61}!=N{}j>%nMtOr~M;nW$hH|CP$IjPPX^5}ZQEr!%?m4Smn z;pLCm6t%j3m?b#UcrT)pmRu;#E42B83tSno<|uF2QS~l_tEz0bt=$mKCArg6&^nq2 zjt{t^FiJ9-wd1HcT$Y}QR|19{0+~`QN1>H!#2Jg3hv@1m)d{T~(ZOY)re2ctL%@8Fiy?udVtP~<3?tSIzWA}gFL9j{rz zC7b4F5-)Drn*+8o9`tcQpT5*L9uiR%mS3jD4b?S!{A&??A~UiTxUYY-RpzZITG(6Z zgbGmKl~@&uD13ll1)p)vfABz(hMju-1TlkVw_c_mD778{>A}}Z{V+UsvMGqdld=AO^%`cF3CuaQ50|cT8RW)ILjvSrpFQzu9h;~EyKzN686aj<6rF|@b zT&qF}GOSf+OMNOESVE(PV`rA%5P(_7rK|MhNf4kabNLxqd*@q-l%nLlAS*$^Rh5_7 zB)C=o*xbr$O*Q`<6v)>=6PDR^d^Oe*04FapOQPk#cpwAFOfMu=P7;K3RP15X7nzK| zI`%#xYDl>!C5XtxQk=DS{RBJPh%I_+J+_3C(U!!&b-@uKD ze-FaF7-7)W7~ED#LhlV>J#X$j=Aip{+IV4v!Tkvi8&T*|Hd#33V2=B0*d#(EX2V8#!>42^GNA?55O{#>F22A)v9Hh$&*@1ZRx=$$O_i~a0Lv0<5y}fD3~^e4 zyU3=vAKZczE@4+DBoSbp*6Y1E=XQ+~{6aiP~NFQEH5DUU-T_6p!%LV&7epKsKEVh2muihIH6Fv_YzW_S0O$%1X6vJfKk$2Ug zDVE5b#6IuM+f)+^zIecpNHTNxFtlgYjK`Y{j4fL@TJ5?wdzm5;hcmoR8-p%YEU%Ni5 zPNNvaR_uuq^?U6EYQHWFAmx@M>alrsoM-b1BQB@qtyUFhMj$wDiuGP8<=wrFb*h22 zLXQf&&C;x-#12OpuO}!0Bc2W&fr(pTM)XOVfMAW-GGrb-*N(99Bil2CJ~eK9oC^uc zT39o^?W>6(JtC`ZL^wmOD}7 zB=i)_rP~RZUGlUivk1g@{EV6ds{rfy1sOMU_})FdWi3*)Mp38X1|nz^s9jQSpki3h zfM?41KL{gLdthb&3prBpqbyWV=jZR|v%c33@&XyXiHIyePk6_3wUZO@BIUHf;P1xr z?lue>TYS)9cuYF_9~dhCaB~_wm&^?Ok&edTh4wg}41`;W+Gm_&kwUGRECQepeljcbKkd%e(V9Azp#}_(0b(#HR1E1#-;HEMekhquk+&wzxhl9@fc zv7(VhBP>lf?0Q?UxRyC_Teu!&|CCb1liNBwLcrMXvBzIM$K@GAa=1q= z@$0vPX$rIRh~PH3xTsonPf3wlbymZ_pXKXk_MG@KYkUy*ez;u$Cs1}1&A9rJ*X)Pj zM`c+?hN|kVHx^NM7ZJY`pSx8~>bwN-QrqnWwAs1+IJ{5sTj}Bs+WE$6?gEX$ThsAj z;;1@8N>W%iqA{}nj;VeV7dX~Gjc%YNhis`=t#Q8F?+vs)5~4@L9>Q?6<=|3IYPf^A z+QkZwn8M-TI)c!Dpl=d$b@>oRBr129`j-4*ApN87&HL)V!m`fT>bGYq3K`6~p@(AYDRx|CJn@VM_YPWxo{5ab;#^>? zdN!~|$`%V{2I#ll2V_m-S5YP#LZ22TJv;%=bNZ;DajdntYYQC$!`0>Q#uun9=I0l2 z?8`jUVe*XDw)A5L`PyKKaG7hVZtWVY7oAPV{2h7V&&DoA&Oe@rHDt)#?iOCK%%8F! zSo^dy`^SQNo-eKCDlAf>e{5)zjOmCMjPAopn+$E&PB^>o`ee@)N;uN$WpINhTm!gub_xZ<@p!_XBXo2npd|Ift@h3!ebc+U@ zv+Tq=awm42)TJslG1d5`R1F~ofsLRte6XsRL|U}Eimc40ckqKSud0gY zG!xNjWWIo%ci!Wu9$zk83AD*lsgMFyLl&!R2Tg}mCPkoIRPz&2 zn^F6nbs2fsQTy+zjXb_rVf=jjQniz>gXP>02voU6z7t7I556@@X1 z2AJu&MzV&J%tBTfba|iEsi1)B#DOZVM3&)knnLhx8{>2;^qgj=JT+DAtfPd-F)I#{&u@tRwW13nOc_LQ3Tcp+u$>hD`9NJedXw zNRG+6!o<46U9p7n)Jq<50N@{-i&s)hbVDG0M)TS2e}d0<}dM$#C#+lyBXV zp13@KvW!=QhANTh23Im320J_AH$+oc?t)rj^+s~}^&fopeG}QidbTwb*U27hxrz4v zD+U#5Pi+-_Bv3`s7(=ZrD_jq&aqUkuux(Z&>3FfqZ7=2CHCeC=S+FWuun932yvVpY z&PVL3Qm2NT%r%u3;T&y=Dec>+k+SU}GRE)b#)P^v#Zf0!CL}_6j!Bj601-RP7(xkj+Z0DYmJj=&)y>o^n@F-8Ah zF|z>EiFGC~qzpPKy+Cxvs6s6w8e1@JgfJxfRb9D+{q~_xiuF{nis$Z#vYz@JpI*r3 z)uy`7V#xt`?4}e&5Xb=rDxK*Zbkp5XxSFgqfKpMm>P?3nR%U5c6HH5q$;% zL3gr2$r-6VGwF7ToQ*Ub^Zv4p%QECv(&k*Vz^CYx4t{RaXpti;&5u8)xMkBpcHSU95RID_LbH-ZoF zURe(CY}`x-p31~Z?x7%pn9@VzKnZXKj6hDHEDB<&NXvv6V-PfInHqZGo*S;ruml+v z-BHqI)~MVY{!<c z;F(f-??x3FxX%4X!sAc)wQMUGiV^1dIA_p?51$2j%gx3MMR#t-nVUE1i9aHlfU;2DZK$h z_C;kG_m@h9=3zD%F_Zo%RJZAon0c{MVJaLDk7-G*rc2af6=NF+COeC4FCpC5t+mX?gLd+`x95>FXz9LPb|}ip!G~bUObHl1DoL@R*)F5I zv$M;x+jq;ZX{~!hN4C@3s5HIefzqaH`~&sH&t&aH0Ny(HbIpYBWe%5JjqeTr4HOpV zsG!;0lV zLTqZT3)DO7ULt=OYAb<9b`{B^c7-Tumj}BJFUEpa=x5OnVGZh5T@d*@8iBke3iJsv zsYeV?rMA5J2CQp#NSOB^D9q=~5yjSCY4L#6w$COH7aw;P{fS)3t?ZV9uZiEZse{I{ z|7<1{-Mi+$Q}u_>+$Y3kP>bX1LzLnnfUQB>dw$gX)q~Gjf8%p#w(2tU6?^9o+pq0E zKNg|4tf1YV95isZ$?pjLMTNtMIxREt%nWiGyCVZlHJ>uYBWcQ(j$Ryn_eA;nwX*+b! z4(t88tlqs)zjItSFV$c#7OVlR89>fp2V(|tv~I93;|6imf%S8+SGoOK$ zF`FIv_1OZ&AJLDMw`0_h%`w#?yiW94pJWOQ1h0ARpMSUMft+K;`!}+3B*Z-Ps*PA* z{IOm=xEE>T-@Zy`PUnH*xMz;E*%PtL8m&@pwWVwRbt|SPH))QxNYuhE9(Oq(0Hn4f z3A~8LIl&!6kJ(W9u!6rR@Lp)uY}I@GOUZ+!1=j08^r=ojLnHyi|7;G%=N7hpaV4BC zKNUz^S}%o=*a6$2NGHs;tN%AJu`Y?lZt?HC2>^=gveQ!%++f!G!jr!-5Pg5eK7Htr zwi4=xqEHP!Kos60GC||B@LbrxKCgri9MezfpDVyeHFnG*cX_KI{ zk00NyKlU)s8XT1ovf>St@ULt0(lIC-6`34V#ToDZN`URL8Kmh0^KBkk@iJw(?Pdu9r>tzU8Qj zganS=w)t*Dy0oHNgTCOHi@PPC zZ1*I&H^tl|Y3Q$egDNI7GP%F3N9TrA;{cPyf3hA`frekKM>xbgHRS<>4u;iy{%SPE z+@udHHn;TDpGHk9O?SqqpE^GKE}!JgDcgd3`DD4wXM9{+9nZgr4v`ieknQ)u*6(;a z^0SwK&95B!Ftzng2ljdBJOU`fQ$X=v50U8Zsr$bFgtsA`9Qvu89K3W0xyKS9ep#2nl`O3_bM=x71~_sQ3yE2RRAPF(@9|f(^ zJ;in&_ctyR>uMsH=Z$Qck?+yc4SRndPEb1}2=W2Cbt-Ogph#vMLd^KB9^!hg_?+5b z=r<38i|?}^9Tmmf*W@G=_sb+A7ezFcwF%wOt=JFd#0~DGw6!xlYzxmWw>qKbk}bh6 zQ`~Q3_oKh72txbj$cDh|2T&{NIFvv3?`dZNt@<5UQ6>M1kR(N-f zeNAXr8jSpvu;1)k-Sb@tlR$a5|JREOky~vPcI}B4*4Ov7gk_?DCs3HL$kK-Z7v)fS zIFk|sq{F1flTY`lW<&HL6HWOpROt_5Lzr(Ic^dxaz|UDL5~-{=JwJ(;orxDq7~A=A z)efuR&;HEypJcibwGdC_%sqLtA9I_(%K$;RJfVM}fLs_rm+)yjYv|Hm!0*otkyalo zLKB{8V3p2vRdH zcOUv}wk*?LVI_@g2ss5xKJP7lNkGga4+lE{3Wn9WdxGnBj)u*ryg1Ty|La(Za6fJL zmw&m27s%Le)Jd!OPt_@Xf_8Q4E9mx47#p9IyJxr?I3wq#yS;3$UIluLQ!wFdraVXo z*D+9gvs40W;Kul5`IKuM?)2w<%eX0>oJU7Z&*VAFMEXMAHz&jD7>nl^ij%E7WcuZL zA!T|4=i!t67m{-Y$#2cHV|@uzT<~j`S3jU9<^Ay7fEE6nHnEMXGL27h!GU!0>Dx+0 zs8lo8rL#Y-v5kNICBx4b-7yHC~5w`GT>Dl+!35#!P5HLcWDN-+7k{UX4}KnadAs-645a^l3;xbwbBC)Ktthp zjym^7e__upwbuv|Ix=PB_@O)Tdu=jvi#;P~2?Kxuvgl7-sd;bSDsmJOH*t-@=|Xp$J%ZwNp)IFK^@& z$4zaYh(Ac4&v*;GQ1gc?3Ta%?>Sd*AJ-~uT-`1!GQ`CT?mR$BZ`sNF}D_aizedsBt z^&)EnOJ}a`qlijTtF1$d^$sQIq#hn=fy#_PDZS4);$uLWz(Gq<1{(RKo4t9;oN2cd zG!$W|EBGWEMeR!*tS8&NgVW<4aaC zZ}}VFfE{6MF5<;k0KxVBnAA%g!PDieK0fjd+0oU@97!Ts&{PgL$+}NuYs5)xJ;3x!DX`B;HBZuxFD#~pp7k3L2Kz?M_Gwk~}&T$2Ll zWX*!t9MI!hHB*6(3DY`Ko~wS5LvtdTu9|^0BC0im^S# zgi9!}@N9X7iG4~@`7UK zpz911DV+8j$RxFM=j|*zGd(q!g$sapOhuCUAzKM!3k`A;$wuA zX+F`KmgT?8)YOdpUlc%HHy*sk58Bm*^?HmL%P8jozON%=JB#u*mk8?I0+OoIrbO@OQP zX+R8ST14S3$p(i5@;IV7=OU@^@6$CJxRs4Sau1#Zt;S`7f}+1}XznE+jhNCU6a$7f z;k`0Tan3K+aePKGXes>(;|Vjc57d*4Iw^frjU<$%x|AXE3f9Ht7FHyOQl^&%ajmg> zsEKx?8{l1mBn8nXrn>>v{D>vygv8kh(wfrzo-x%t5K+;yfH1Q=a>{9_0)4*`f4p$7 znMi3zI8Nbx0ZD6%-pO)5Y%&!P6VSZ!uY8Z`c!m;HQJqONk*LT8)37`ersxu?;$N&x zb$MANakE=|=LAf;FMOp>Lr(GIw=Fz%dQ1@5nQJn*R>r>->MMY^-1qIwmb_HNEF`FG z!=O&xPmvGSoM@@c%OptVPTUu9Dg)b$s#Dck)5|x}9k7d0JsXT}y_fjWn5!W(^84c# z99B>C%{U0FrQa3dts?0P^2}PJo@teB$~`h@){Io!^T{B~%mr^03K@=!0=A{(-0|^* zy0wHGm=a`Bz(_|OnPT-=1G~wcNt7miW%fZUyhwPxfGo&V$obrVXDsd)A7?`mMZ?Kd zz_oFa&2X(~dGeNo7)`89SBDbfwNK6D`yP__c14TWNkQU-+rNxId>Y?mrs69V%Q zr8u_)ucwG=D>cYBQ&m1!GP6aGe8x{>7Za7pC3VDc+F$78iMs+0RsyIsD)L|BCg87 z1@N*gD|b(`c1XBPwDDgetgO(ctj=)uV!V1Oi2zMzLa1K^W+sslF{mzC ze=)mLFd4 z4$O?+PaH=5@Lpq5;twl_HWFI}ao}}{W(+Uk09jbJU~OxP9f715xB6Gbj|RX8BASm%3R&YU1*^VY(cD z3ru+Hof=e?m{Fm8OP>p#wPUHxZKYW;?Tm(_aI+CJ8ht2Cl9xUFa*!n=28B}# z`>RP5#8YLVf1qb3st{YV)pY&1U882(bb@ZfioIoXN>%;*Jy7yQdEFEXVEDkTBulzK zW)t`21994ZH!fX+yP!A^_;lQfvwwHgImr`J&1JZejix&c>8&b5n-)*wm6*^zF`u`7^Cfn)78*jV!{XLF~b`yhobBKtps7 z$F?AFRvSFfJ>)=81tNLUB^F{dn)U4IhURh=s*Z9Lt{%2@f;pVsCm2)Y1iA*sE0{NY z%dIdKAbTIOAktrYlNG&kX~~eP9~v35xLy>lWZ9bfwrA!{ayHvINdp6XI%Gn*J8TK)l@L zsEIBh*;M^jP^-DI)UF|j^K_G4_SPO>yuP@xVWh4iOk^`kojA7zCRS8YuzzkkS-CRbb(4Ow2+Dw=Y_DK(63ySp>Zo=9ZSWT2YqkkZWJUH3DV}E4hD@E6ZF08-?eVp<$=T`B}-#Q$Rhl24pl zHm$K4HgNK#s+4bBBfOL8SY1$Yym7Hs3&mMx#9TI+S8KPB>D=}_#5(`ld<@KDunLSU zt2^Rv^+BJ_$e4ru*gw_ygL8Z4b8!;CQqQE{U|d)BG(3a`4Ysfjtu#Q@*epUWut{SK z3^r}56us1ROFfC|Bua>YY$pf-=U(=5k!llbc1svoJM;}3Kq{5yPU0crO84f+YHIR~ z|K?k1BKw4-{TU&4IZF)+X@OK;pPPn!c5kb7@YEYHuSBLMP(EeNvI14X3<9;s8PmYlW~x*Ds|e#Eq-N-*o}?Lt=rY`b==tkz##4d;_H@2%xyQU3I}a%#+sa2 z(&t?JNB92Pqd+5P-fe|IUJF>--3(`@^A1J;W^m6?;Y2nDAeqhePWL5wX(01efv(}z zs_PDDGdudcHj3Rlq!Z4aZt~fOn{S|cCUog>v=sgBmQsmi)Wo7_U2a5_JOs;j1}6DW z;JVr3w0$Ttxizi;Hoe9sOwU38pUg4c6Mg=t8Mc$nA-vbgIgz<@aO(twLMApMepkDT zOv*loYu+jW9{;=K6W71Z9QEbnKD?=kA}@MeP_L?yI@G>k4rg9$S#rCpL#%COMCb?vBeJ09k;M*bbs_dQXZJ}T4OlMNoG*WDM1u=-K|pk4** zc1-4_L6eg^e=R}c)pG3wf@og+7!CwHU9)4WVcPF8n+EAf(BlQUD~s{1I+8wAnL!$P z@Pxn~4?FJ8DwK9v;9x% z%>Hn)h<}Cc*~d*uW%*S=Mj9_h4RcG%%#>?b;puh$s#a>i<&To*%71sls;OiLX5Rl# z=!#{#=I)ylYvT60@XZSlvf8OL{rKlX#Az(4MCt{|`Fjg}$}__FzfvAMSyG9UEHn@$ zKyjD9d~R*j1k-x+5L~lArA>hjraR$z^U7U)9Zshn>?$5Q8F<+I1-!qt>&z6<1U*5RD>SJ9ijDGI~m4*Q47<~-_ z<^Q&kYp!L60MKE8QDMo6rsoKKrUhlf0J-4jnAhoDGHh-?Dz? zaNpcOTDV`X8{w6M-)A?ykz3Z)gWq&wK0p1tdp+DQwa{;EkT$N5+Cwv{Jec#JsO=y+ z$``wHDLwXW2(CIlAK{?QLF75;+>8=Y4>Gy2RI-#E=VPepnK_E)Pn)^w4A| zQB9})ll%~E!aGWvax*GwJ(lflSlO7F*7(ylCdDBG57T=W5n)0mG2hxAE*vQ*8~t6^ z_9x;R3Fa|sWCCrhepeDj?xF}>Bt=OCMq%n?pYOq|7`c$f0PCn0P!R;Skd32#UK$ z_s8UqjH&!l@hU~CsCOJBv3KFNuK>KAFJ&IIE#MV9^VpU zm$1S{+o417R8$ay*hX{Za4bzG2gD?!0wjgj&#T}82UB-er2O}_7NsnCf3_HfSunm# z0;ccLob5XYnMJ~++EHXp5|a-gywAFibk@}3*8DRX&D25M{mY{q6e_m9lS0_@ueDwMWwleiPpTTs?$(gZ(2SV1!} zAO#9yQ6*+LDLw0cV(^eez37B|#y0~7|Z>j+sTQ=u=P zP1?6R>(wp&KkZ{~v$-~phJ`pGa3l0LQohw)?0~`_S=JetFD8H>W5YSZn@tVMEo`|d z9c9@sqHg1`1zWXktzm1N*Y{d-KW?m6sP43SHvl(G2T$^d^WO*>Cv|g^q^|xFoLyQS zxx|3lQe1B*{*PH{RLh9^g4Nc zzuKwQ>DqcnWGqH=q%YSE!X7!eF9F_0;)i8t6 zEjMzC+a4V`gQ3mR-pwS2F4OeWHI3o}nwZBdJpVmU+W72Tb2_<|PILaNVJuiRoBAyP zPW)VX-nrrwYJK>mD1xrjxXMvf7c7EHY;fBQs<;2{ z+0WOD|40k#;B=|J9nv1I)5{BDr$9bFMEdBHfL@0k3`Uty@MXK=vPRFhhQx;|aC0u* zOgVf#jou09W=P($T=}qTyZT&A4B+cFV=MQ z@wtMlFN@PZ=Zhp3s+vC~g!xZ0VfOd-CiNXX2k8>nEU&_vB-JyahI)Zc`gH&k*QV88 zSm=Wll|z>#u&BI*7Ac3dh`cGZ6-k8;gJdL=kr>lPk9mkds`xM_d&rU^c6%WC12fRY@x26Y1ry9Nb~ z9lfrp0i6)D0IhivV&SQz+eig*QL`(=G{KBLX5>-N#v*&3O8YK1vGNQ7C%bzcvP8~H zS4HaJz>}PwbUD)yEKSD*FMobp$0L4k_bE@OC`++$>?DK~cvF`LxLBqrmZ|nw)+Lhn za_eHnM_m@*5E+mf&Z1BuVJo1jV|YGHFZTz77}XJ+dmuj#R4+b1e*A8*AZMV{ZH*=K zbGsN#mk(# z#_suWO6%pi9}_UJ&u>V3m8=fpfk;YBT>>3?#0LC!Dus@@AgaY3^oFVAee~pSR=XcU zU~xt8MxS8^%U%D31~uuJXm+1AWI5S;R=KF)jOOF)L31>#LYC0LsAoqk@w6P;-2CTr=>@{TZiNK%D~?Gb6H@ghz&pen9RmC*`pO*9|irui;B%tZ!o)D zrzFh*evc4E65XP%c!QM=8mF+LXA8xH$R>hkf_b-Gjfg zK>|;y7O*gn%mI+8{Zlo`;SmN6(QsbgdWxB*i@5SDAHsk;7b21{Rz!vMuL$15!~XD* z$htJ=(i4_kCiYRqQ>^_Hs{>B_1w5&|+4Pp8922)XYlNTO@#+AqR+zsmys1&MrP*rg zd*LgR>W;M{nR#1sX(so88NN1)FEpDDfUwAphr03leK9>>XH#EZGE)})zv#uHX=){; z$kQ$h5<+|)f$(q`otSQg4R$mzt_C5QI}b0$(R0ed82qvKw+AEs0(w<00!?&e51X<& zqV-$+jhIhA7@mgT7wM*!`5D(Ty_zkH5bJ-D6kf&Au(BqSl>_MjSOO?%C8CtL&^Fdo{Uu3)4$-2FZG_eo9p?CF`P z$wM-Zv9=RSZ%&Ss>UhrTX8b;}p&tmpHlQrbNBztBZL-HUw5L|u zUA?%YI!5qw^LbM%eRRQ$hbLp$SygK>xQc0aH$iXVPoVwuCxBie@NUMeKPEI(eRMNxK@38Z%R&C^#XCSOY2!p!}{r z3u<>l)r9g`!|6jW)U}rj3SRf@LNWz%+UIe zGpz%nH;l&hi3JjI9JJW#V5J$_dI29SEr*@xc9U)MV~?Lh?Stk_Gm4>iL6WAF{wHiP zv$D%B3htWoA=gv$suNwlmcAB0+gDdA<^V7Pz|O_=Y~&Dm@l(9@Ne*;yq4q;bS;VIK zFQTh@ntcHI)@@Vc611jt%3Ka=%-r=P=BiloDKL#ei{k25^%& zEyMkkuw8ANU7j%$VFb;6UDAx>f6h9mAjdBTewXk{(tnJaze}QX;kJ7kq;lfk(jGu(M|WvoOXWm;rZk1t^2{)zk2iKe z8<%|mk4_qu5BVPlGpQW^-euw)#DMvE! z{tw856+KW$!9RkgnlZ{vt@dI%9Le3W9ZrWnbsRQ`>Jo=Vl(wtKcgrtrIhILgv{@{W z%_UIQj%4HO&gupYF`$wsHOK4{tW&a$(18tmaX-) z539voTRtB*WZT=`uX97pV^X%5&Kl4RS?j1Gz88zUE%(W_oIsN+G(&V+H(FC}Hr2x0 zS+RHPbg^{N-7J?ZTi9_VOF(x-K*B#-C@hZ6VOeHHhNYn*@&YaFjQwV2I4A>b|!IYPkvrh^WgsGANMU;OBBdD;%dw7XE!o%i7DO0VZ zbW7;G+{-LO7X|Ypw@n;j)Gj)tYNfB5x6=!x`SdhxITPszl*q=r;i(t-W+Fo_KDUxd z7@3AVJ$Vgm-jf(uEip4gg>L6DdW%00elxjPT@bwK<^rY8DC%PpAIRYGpamau?quw{ zI`a3_-ru07+;Z+5Dg+7z6_i~SVev*87IeQH7?H;s8ps?!1&>6z+_Xh?pS(Vxg*huK z{|G%6@10OPQ{lK_?~^LddlD&B?lwc`HNPYBXCZZD`o7Oz=(N&&x@heRtahCb z2aN?Qi1FzFm3}T6VE)quQTXXPi2OU{XLc6Y@1L;{$05^MclKB1kOjE+(2R~q-I-^V;dqj6JVJbLqMCyM zG?8frkq(xo>Q?hc7ece-+NFB)cvCX&Fc~VU03V`~FY zis!XH=m%s{El)4E{m1Cg)S(q_@wF*$K#UNNum9L5=2-cE(U;+fIM1mA{@SZ&U>~Y; zKvuQ9ww8_gNQ4so`bStc>$Fv#MRZI0)K-aJU`3~B4>bfaVDb01;(%8ouOE-$@o>kq zx(UD7?4^({i>vZaZESaX0D;hMAQ5G*6T(!&!iPPh1j1A>W700*tbT5 zKX|;{6+K4hrCe2C#@iRu^|9IBk@&1u;Y5z#L zBEal(`Onf(Raq`xtxmfz3xIp!r&k@C`%up;$T}NCy9;h3WAN!%Cd!H_Uul2v#4?;1xDS9=CymNsE0Bp`*L<25T|qx@P8i7z>~twqPU;BA}P>Ay>smQ z)vCq4%)qO)Jmtk*tJYz2E4tv_4o~=Vau3R)q+{L#ENt=@Z_I>(`$#lXXVAIGP(c?~ z3ZI|Nb#OJ+z*SR2CK=8eYF+<~9o!3@&9ref)x^A<&9+6?ga4gS8K+Y9@APPj8PsC= z(G_i&0cMYPiwiQz$yFtm4jZ zI-o(+LRXV<4sD~1dAVgy?EfgObGTAF3Yv=k* z#lEm<6fmy)_eM$qDsvJOL`7*B$r(0Aij9xA4r~$ z?YJzza0SD~+nZN7_}kE~NnjEDCPgl*GHMd_jsLIS-5l>{hew-7);ps%BDT|r2}f+S zFX)W$AU3;?_1Ea;`FHKI#h3W`rA*ZGLjU0_h++SE*M3yWePzs2qo_(82dh+@hRA!! zn0EuGAcMok_qv7aNk`VCG0z5iX%jZ_iglds1>AU%mJr1xhPb0_))J( z-F|Yu5WNq{w|VMs2-LQjgrYslHa@Ydzi+moAF%;880T}(OhH)UqQ49UbdscyDR-ae zhaKQ+7>+!ioEy%IfL8~=z;2#jq{7)Y>lnvO5napz`I&3&h=+&-7RO(Z7h9rV+JHwHkFN=&H#`ATegG^SK698b;E(C z`I3V1Tbat4A{iYpx|H;3jrbOijp*Lwy8?ph+y2X&L941qmgjpOH}k^m)OgNV4k;Ht zCd+y@OR_nRHsxyUUfZ0>9zQF5U$*@xW%p)$psw&oA|6cfpK+xkvO6OW1544&yd-3||oh$$ryW?PG*ms8v1c#^n zr!pz8e_`oKd}iv$Mtl>DUYSR9b4)yIlCN$rGEOkpMQxLz|)%x&}S4AhDL{(Q2!HUcaZ)3%3` zges`Bu0 z1FfYUO+ad5UE*y4Q?7fzmqvVbNtSv_1i*P7Q`6SOsZha|WZN{(O2p2~!jVOX`a1QW z2JPl6K?R-Xtc^TwY^j>W|FBO zZxlC#+GKB)dZ*I7G+5bwiX!OMX+km>uC&Bhb>Y$)qP`DtQ=V^|y69hvGH*MnjXL6! z%vv(do{(tXVC!CMkcZxs&00Yq!X5P3kZX8SR}^@VQDLQRZEd*K>SH&?6XH}Ip5$R7 zL%+@C{f<(DCbRt3TA@wxJ_fA7XcSWdJg=wk4whODAIE$ml<|~}EWzO4Z%sjOs(QIN zV5Xkvk$w@2OBOnS$U5^;pEQuY%1kLFw(fbRFG%mabyhG>t#fXh{jkX>)n~|&UCjYQ z2IWKY8;rBsACEJEt6J1M3}X*-AmPL)c?2VO%9aT51E<&|1$~~~vq(Oi=Ina4EnsB) z@aI13M!)u{eei%Im9P2gN-M{i(YKrM=|iFQaSAac80LqH59Gy+7+C#V)7(b=0$nAW zmcUA%kK!4huz6>?=Yz$V2_jmpv3rX*A$M<@M>U?10Qx)6KM`#bmiqQiorc~H}*d8w!=A!b?3Zg;bK zYwM64490a)(fAtYBE8wSb*s%;o@w1K=(V|8MF91d^vdfp`tLai$6<1V&H(dV?+U%xwMJ@z z-c!<)KyTYn(ye3@RdIB!TUgvCILu2+*c9-4`9$or(pq663p?*(s+u2!W0csEd}9lA z&Q>wI25ONt3?{>F=v43|tCd(`P*qKjF0ULPq_LsXDQA-N?|&S}JjMK>_a)h!a2=%s zYxi+YQ3;f*V3npgJd^y{-%mAL>6nqudZdZQ&G* z^V8$uX_KKZ|dy@p9vhgf|m9{u&+0GsEv-3?d?`% zK#5LeL5j^DuWZYOu+Y79s8+3s7~CLJXBQ-gDLHZ|cv z(+Fn#FBoG>4WY44c%{hL!aWK2rn=_W+P~zOOf(P9TcUv8*`@F zgT9}WRsJ}s*mt-PbRJ3yBdeslPXx3dmP2-hj_TbR#U59J%8wuP9v{uF15!})rB~+~ zFlq6R8nyUFj9Y!OvcZcyy>RW2Qd>Wv1;ASydWWSz@WhD!E z6u=4F|5?;MS%0yI(np47QQ!p?Z+~32x=7v!lPn|xRzw;%4zVk;or@~``PF?xGt5UZ zA?&giDoP(^oUL@(Ci!np$KS>*jV&&x$G8&z31_R661Ma~L?P!Y&e)kLgk_6g-z^TEr?!MqzzfpPAEaO|&2towtnjn;X+5+q5m+=-tU<*>!%q zQ&ow#{^DBycn?Gs#Z#yPf3wxz903WXoVZR*YKQI1OU_DB1Oc{yQ`$SkTkl7otHZ>lh^>$nQ5q3?KEijUUtYkEZL^-LM6EnH+w zY{GOl=$L9r$}ye>-l}j=awhGtDJAXLrs-OZeL;Uowx-zX3j52+N^nz#ADU+937y@S z92tLrNjJwYFdr(USXHKzb?QqOlHFrfZKvFA?j>f)eW2h?rNdGAyw%g(4DFZVPXfi# z{WJK2IViyLud!bfk1g}X^V?(Fc4zF8OUd@GXdrP=U23nVDMPz^ zG+93nnUqPzalkvD=h}g-xr5;!S3Sz^L*Fd-gn27MxqQpSM>U;RAyp?2P@PWe(trF7 z(W-&SAz2fVKz`>I(I&t-7Of@ImJxL02^)>9mGoFsFB=IlwPmhPCpB=%?g}Z^8aNRR z+k1WKd&(+}987%w2OMafjSF};VHph!%J#AJa7ug3c6{HVGwa|=v(~&HyU5RxwWJEe zEtk%JSP7=bT}zBMy8Vs*v5W&uIjd+ZT5E@V2{OObk(U>k5%47{IcW@qa@i6=HUjf^ zY?0Rwe_1#B{z>p1=a8QogJ;k|sVkXqEebFXFA4UQoFm;#Kq)FP3!q30MJoVhh7+dx zmk4SmOz8@~)Y&0BqJu_@cq)+UJ`Z9SU~L9$wziVL$~6VCa25^#{vocl`=3nE_Y`Jj zD-7_MHn=r-9k8qy<+wcR8;4wG5S_*AvD}hvkJv)%!IvMcFi4T1l31?#0s>efbKW%r zfOb0by8`Z0$_=*!G^W#OJzt%j%YXtxen5?2=>V7^Gex=ZeBG|C4)ZBXu_XeXge#@$ zOj!}t*sqRIipjmWeds1_CegXL;zyCg*Se>^1w9WK5LxXfz&U0bIQgw&t&t9f;c_}B zj`SymMcPfI*Pb5;anWcCaN>Hh8|3lC2gy!}6lvsLmH-!!2ZY<&{{jWI8o}yI`>$9~ z@V^MrgvQ$>5Iv*CVfWsMdV`e>Mw)*&oKT6M>IfAPLlU5}5qfF>_x|WFK`=^z0HRBr zEa|L;<)>5p%3X+7>Ymh;bNRLF^zIr7%*LMgOBcQ!Z5kYx6!pdVW&J9%B*{X}um2P( zy|jSxQMp3$D~wT79ZHE#NsM{7mSZ(Y8>(`wpeQe-nS@WFI=!Z)IzEm&xj&V6LnuZ? zeugv<9{{`{imD(v9=#<^u_z}#%S)BUo)`8}v;~Nif=UrxL?n@4(p=S#73%*V3QCjo zU!b7s446UDG8ZLqElf3K>dSx_O1nB3Sgg9q@mL8H*abiycyB`-Y}%2(u&9tAAKZ6X zM$ZW7G|rEftxe9Jg15xXhjVB&yzn1mhovn8Ow8`x|2>=ZQB4RMn zT@U9kx@U77CjR>bHPRxXculfwgHKd*y|cQNuv)dX9Szh%wY^;z83 z!&Cs=&kBY}#IdFN4g)9Z5ZNCzurUaLy4d9HW9lqi0B)-Db8<*jlw|sdm~6!Y)7v-8 z=2fD@`0Q`yX*3jlNSW?;F|fUUUoINRnErTj>TYz;kOQBI<5s4k0i58L!Id@{$0b|j zIzSaet14WIn=DpthuaP^snC=s1cqecr{Y);!<#cq&SM`Y^{dB#5NkQLu{?mwQ~mh` zMeoegFY?I-5lS@VQ$bmHw;wV^dYws2L_XQaB5`pq`W>D zPR6-W`xf_Eh_FE!L-Rb^jYtB%@VTC$^+u>}>}RpED@(B%-a3p4jH5rx%e0~S`wN+R zCfbgK>Gg79akRB+5E>~>uHai-(#w};K}|{{$5G(qiX>vD z33e{Z@&{1vZ0-?dqB{*a&cV3rps!7~$r{k_ZRAKCmn4tMBiVum0uwuzIyE&#;F`3# zQ1~w)OOArdjJdbw(b-<>F?DEfSxWTW1-sc^Hoc?KPj$E`s*#5o*N@)1Qt(PM>aUCG zW?kh0%5H)Czk=usEr%gbxI~AEMYfnaSyx-EK+#i;z}3N$jkAEa`2eSj9!1hbc?I@> zZ@v&F`(f{f6NEpYGh5avm-K?paR9FyR5HEM)awRiss~XESHyqkxRw6#FNSx(#K3z3 zX{XqV5bFGeU(0FnIfYxj(U*^cazLHI+=$cveJ)Q$6 z?b8-b2@m=put#4EikCn{g|*J4q`A6!n|mpuUvOHo9P{}`OJ%`|vX%K8J%2vJryQ$H z5rxnHGw&mY`4?VLg0M61EFg1mChG;N!4m5};4XZ%)HlOJ8%{+$N1Qov4I|@V8(|P} z?ndl%50G@4VLPPl;zUZ{D8yNY`5ssMpf}NA%)|&D$#qE^l{P{`^X9PIO|Wk{-M!P* z8cO*y_$Uwg#++LZqP}V)uug7731ssDk(8!DYf~h&=@~E%VUa*BuS5#n!xw{x6bqb6Is5lmL7r)rQNK=VW?h8Bqs@S8k!j1R3;-8{>q=shIU& za1P3M-XYxQH#EHY`iwTP4lPvy)Yz=T zPti!?jP=&+s+2vIwTrxn>Lf~t{_IC_0A?RIa^b6zYIaI#Sle}s8Uf3cr}q*dV~aOt zMrvyEOl1dBD?vy!xozAPBZ7pX8{T8%z>FTjc)u0qNkYx?>_wO_C^5L?bua5$!imU- zk_AK@q)>&3cse1@HN3|qlp}3j_c{pAfHhD^ehsqqP%v!+m-+y60tldkTGJ>973s_f zYM;uJ+(ujRMLigARm%g6JO$5S@3`}HYO z1(zR8$;SOZn365m(db$^*iy#qST*EU|9-cZdcgyq9xIU8EBd+EKJ03;8Kx?v$EjY6 zn{=`4b&NmvDZN$atXaj-B!2eu$?1O#gS%FAdP}rtc76#5E|JRt!Ldul^xEIC&FrlF z-DLCC3U(=6B0FJ#wnW^@EEIP=>jS9m61(&3U-AP+4{OlL)c4an#whR> zn{AAMIiq45u7&1rLJS?Qr1*&d>;NRS^BYnB!T@B{N81IY)#3Zj8tC85u{B3y%y<^& z+NQnU>Csv#+pB7C_NVS)jEt#tokX}^wwv#7Q9eokEd`QAWtd3a zErW;y-w5JI;(Zqi4Mm5HMxrSh7s{e^m6wIrkruavJ-{m5_D5U?*Iim_FE_#mSf|UL zDbpFRQueU(#`EEY_swjMp1(BqAMf1S!bf~vz?d3rJ(0%gS%hST!xODtG*3bB+sm6J z;vbgI(B8Y39pBacZv=K`F1-&ck+@@jB{DvQF=w__{M%#U(kwx{Ia(P%NMGqdnOGkf zU-uS3uKqsHXUZ`=i1Cm0qBqd>8d+OMMxW{$*MmAz)}HnZsAF+R-CI8`XQJ&U$KnY0 z0~XJYw^?|ezZx|;;p*m&CQV-`q;%t*fbC$|RjVVPm~NliNoVGP<%cvD;GTEIe7STV z1tXjkS+{JX8}r$Vr#6Ul-}*sk&rjKjA@}A)=Fv#xre007BS_coI2p9p(>^%O%&tuy z0D>x9=L3JaKyYU@+N#G8uBH-Yil76ZEtJhX#vj%tMFNUYTm>NL>%Co1&hKA5^u;}x z6yhUk3yBwC9WlMgL>|xqaa>vPVsi+<-lO9vpoLK4$7f^p?%XfZu`#vLf#Z~kAj6`K zV5@~YgWb6w)$bTCLoZ^IO!hCS3?utBV_mJbi1Mdz(-WiML$*U zk|P7uY+?sC{u@*87~IM8y?+NA+qP}nHa9jmwr$(yJGQa0v$1X4{O9xg)?IbagPy9> zr{>A@boZ&b`gQpxfvEf9DBQ02YYc8DEXmwJjO0+nTD)pNNPV9CmEtKX**?afmA}}5 zB;QX)ePKIJZ+c`p%yv#PEHGY+_hi|1)3pe{+ht7FRpz8ak#AK#kXu^xf ze~^vc{JJn_846~7g9jPq-tnYS1wY}|K&u5us$k}Wc%WW-k*zn%Akd6M{5v)I7xUg~ z2Hk~;Ruy$;!1$j#FIN14x)zPx?gz85TQRNApQBg{vBOB#`#(0A1?R14R|lp8BU#%I zY|Zk5l{*5&M2o(D7#{K{$j%3D#N2Dw*DVYrbV)dcHf3@>vECG#S>Ll(?3vZtgcBzN z`Os0bxN&o%y{I0z*Gh18I6V!LI0t?Iph7dCdRE&$-Jf@T$X`0mD!E^>1f9I%lTK2w z@=*dct*ipXw!1ZuR2 zo~fS6*njzY39?qleSHP4SPB)yJKO$fdm5h5!)|^9La)%$cc6Km8&-mI-c8rrom_Lt zU^hbwyy%FaM4q_~kVCI<xjXx3qvaXDBC;JOc-Qei(H7Ah*l7QB$x#ICxCd|9d{7dV4{ZUI@u6t*{} z{p;-I{#wnUl`J;IL5R%Rx7w?^sfYn}Cj1o%_s@^YDqUBaru;MZt=u(~bY(kFWT=Ug z-x1^dZ)O!JhqA|svb{&V%*jFs;uwwE{`Nvc6Tj+1X`CGNw%7Vd?EdCLRd23ONNP7! za>ghLv>8S}Qux#MOHm@!1D1%&ZW` ztH)b~rS&XM;5Ih2sQJC>G~;7}y03kc9F;Nb8j*#dAaouVe+mDLtB=~v#;Whiq1(^A zrsj5zV@X|ET#iT!9wW@+b-X&|>)T=uCmu7iO8?FU_=ddbUnz#OtnVZJ+RgL)Ddh$^z5EX{ zV~A$S1-yn48o40V5IF+UI|XkFsYuhb;U)ziK-oC1T}?_?{pcEPAqeG>Q8MzhBfD0& zUh8I>N!>9bHXn<$h=g@NSexHUH8GM)`4$a=ExhKS&7>-&i5Cg)`$FE2M~bz8%N;L` zVsy{pV|iH!>{S<|#IL>F%aG~tH1B`tOHwLs7d6HEs9dpfZZtjru)0t&xQ8}J5S1|E zj_ASV;xL=NI`)}UY_Y{JwV-P29=bR@08RHBl5DBV)0S;T+c_lYKQk0e(X%=(> zHle)mebGm{ZeQ!E&)KwoZH!q;_M7K>P8_fs8F7kIGqZDU-FT~mx=O{Hid@9I`4p;p zQllQ>`76XR7gr9x&S+s;G&@AywC(g4xL|i2qAY5ennG3ALjl~Bc(Ft+7+@FnQRkBJ z{M<)!du!5A&NbP!H*3e zM%Ae$>v}4utT)w1`VY&=3S^+)Pi+B(neV*DbGvUrc@qTdS6T0oadn$V#Q20q;4p|k<&hvv5`9^g|TF&D>jzNiA|tAEPdSlga{?e zNHx*KGOf36S)$6UPMQC6eSKwng_)YX{AWdsAS1=aDYCS4%(7#>GmhX&6Fp_wbw%O& zC+rp3(m7?xvlnp{YN+I4Bf%XBmNVCX)qoR+$FybAec0%8}8%q=3k)d+@Z_DN9 zRKq>MywZnKe*PjhQ#ljxbOJ*z=E^qaJ<6bn4U38HUi*M1(tyeXG~sShk|N5OoBw06 zDC1JXD_I(I7GEvpvqUZ3gn1~_!}`H>!ki4gSk>X?2^~wZDD07R@y}{Rn(E?+axE&E zofU0OW)wBjvf|ULvl6FD)Oh!`(qJ`oIl{-SC3j9>v%Rw+Pgik1!qe9H&LpCksG|aW zazllh3U66^%yB?|l!m#7m+Z6TiEijbx&iqD^jg~+;t-Co_H-dbTm#?{wUEtbHI2;X z6N0UsPi~(uB<^LAj{e&w8MJhILNc6r1`?fxZw6WAGh8cqRwcFx5(WGL7kSjeSS*} z@HW!lp|32nAt69}B_NKW67cMPRFC(4A-}u3?DJ{{K&Sez0`%a|#7>7TKP-0qGGt->as)^oqSMd3@})9C9VjPo>2yY~7<(p^8-=<6$DcCCFueHL(Fx za+8!?oa^^;3s?oWp3`xJ$&BRZMyu--;#X_rj^~%@>!qP|uBLCYk#~+>-bI>D`^#|= zOmSP?Z+l~?H?spy>uLkJVc&+YuU&I7EDCZ=&D+HdrMf~A()x|Ftgb;AU6Li#)Gull zRGP~i-96{h%!+v06f_{^X-j!H$D_`GT0JJBEE{J#t(}XnE=ROqKf8_Y=8+Gx1hKU` zdCA8kw!v z0=_#K<2C?WA;#19sce6$Ed~OCE%xh|5J!#EVn<{jPFsCN6->TnI|1oTEwpfzQPip* zcKeQ-y@5GwXLr;|d?M$|WwN5rg;dZdnf2<;@(KZnHNJ^l_Dz8a_#6D`1tkDzjh4zqO z{&!y6*Hnb|HjB*X08b^(V)Fxv-ztApFBg3!UK=nF(ooF9Axbmm{4;T%6}>F@S5wzi z9t=GUuG_N}sThaiWe*KZrV!j#DHL>e-v5*@A-!B_dFSH6k&4W*ipfmMW~g&#fpCfl zwCT_VW65dS3vl;r+lCzI4Hqaqf@sQ1Zo3JBx?$&qi zgvzu?aLO9sV#BO#%ez`Oi3t9E7|-cP0|AU%r+4z^)dZQD)Y zyno8j1=IQ|P_PIMcYQ-jxa^Ww_V+Wne>+g4Z}(1CZkOf?u@KlHC)#>( z!%t15Yh z;gs3PC)!Xer=L1?GNKMKyTjOxOs#DEb|&PfB6S2#n1<8{EdIRA=Hq6UA#(OXr2oG% z*0BNOLPkeia%FQWPNpw;!>}FJM8)mOTR#@v+#E8rs};uxzk4|)n(-euv#aR}f00N} z;*NW6z1bD+Y`{^N%CckkkM`QLhU-2}cs9m;>r3mxHcfAIA%sY~B4)*ldKE@wT3__< z7UCqBMZICThYS3~a1;y^LE-#zCC2sM%)?qB)AS3q_OL1XK7bcT;X^jof;Y7us4I|`CF+1ok{O5q5rl3amX@{1LQ=8Ddu;|6Le&jEWL2u!dEs*7br6KfmA2w zl(w{JO`7sYCP`i-Xu~*798uE?q*nN=a%=!8T|#; zs`sDOn7msxgIjS`Rvt$0bvenzOYFY??X(gp0LYmmF1UVO&b{1Uiznj{Em@&UmdhI5 zZ7JAm4WGeJ)&VWMvNeJXdVL!F^%*jnb0KCn4l-52M5!A%F=<=P#g=d8+L!A`NtRT6 zon;Ts`(vq(Jt4FH$+1?a;>82XW*KdA>g|PIr0)J}Fj1^F-L-tHx@syFRIVzqYu9IE z#J*n{LRh4u1A-D5Wom+L1QqP;+S>Dn3O_5Vq$Rbb#VKs0@_R+|W#)sPhlj5Gg_*JI za(eVd+eTvrD5RNYoE5g(Wmf7!8k+VcDa^^aPlym!e9Wd&$DCs0pFn7w1@$*&Z53r{ zQ{Zz^M`6%HIJoGgh8X@N+8R(@i;2X(LN+-{*h~fTlLsNTOY@3vFxnZzGeWtitg1-& zm8ro+IZV)tlE7pXnOQY7Rn?hH+dm5DjgKNh> z;oRBtjKVQ;AiDh?WnBt^suIXdDL%Li_WeBj*inYh`7yDmU+;=gxhF!i-4)qYPq{of=>s!=UqXen${$yk+r# z19{YUc@*=46sX|^t3a--xxH<5?eoSh&7<834i&pX%NpC=x|&f=hC)5Wy-KqS`!{;6tJ&k}x3OrN0@WL-SCwbQTjSijcRJEcK>v@?q>3L8GiRsSLtgOf< zX&WJz&agwQHjc#(i(QG_aqh|MMCKnFF*HV225SvlIOW**N@q(!M!(dW7lD1}{2IP5 z;0f!iEHbO9;v&sj$zGG_9^X62epBaeR*-X545^Ybj1J<$-xL!xoTP)keYd>kyVAK! z!0Qn?n6KchB(65H0c={;c%_!%yz>3jVPAw#;n*Za;{q;iHeD#S=5l2hn{EetDBfVH5v0q0aaBs+K3(0QhLZ;@sKpW`vtWP`va>;HsRj&~Ub-6%;+)7zu4^sZv1> z1yf9ie%a%#&xFO-^Z9Eb5Y?AX06p?m)@@ zY7(DJ)=*c@XdF=-I(<}IDP^3;N2a4cJaj(_Uhu-|!i?Kx;l*G}|0)Cy#Og}qu~}EV z=rQtHicxq15)U)tRi51hBifd!?>~e9GZ-k#w9>j=D3*df#AhLfDxd;oiMesYgZ@Hj z!ls&3Ht=_oJbZgLBoDRSQ+z}Hhf50eMm_4&s*Go8@I8_!I0+|t#|T-Hnw_1feiqcl z|79tVUWz@@3K}zeNt#Pt>bYGed$%2)A7WQ9!AAWb_%bE4PTCMzPRVPuE|vP4)Os-K z&=gtP-0r1BP>-^n5BIo&2tf$MA^HiGhDk3FP*%2u)0soirC#EN_ez}Mk6!+;Ko5(g z`(8XOCd345fLoqGT;`3*cwA&sjvTM5{-p~*Xe&@bLsSRCt|XYV9*2anP)m3`h>F?S zJ7Ga3j1VoY7p-sQw$vn~KpQr<$`Pur>m5Z<{??1=WhPr)3PoQ-FTl*woC2OqQ7VK^ zgr6N2>@PhnQ^Ardcrq0^1^y?J0}>HaR!9ODAqvK?aDF6-Jy>%3Y-x!T(S(q*AZyw0 zCD(iaaX>(muH`lkOM%PAe}!*?V^g?i@|M3fu)(ZopMR1Ey4o7QVRY(TZJeZ+g74BS z0y|IIPYKMG!FWB?`2mbC%x&a{MsOO;XyMRMJO-)G5qQ1OVs&aj=3e^ws5l+q}&X~_rYv2t`(Fn z__bET!{ABn{QP%DNR9ask@*glPC(D|MTubP7th;oWit{HrXoN>jv;+>bU`$=`GpO^ zf=#dmU&xZ%x|H3qZfn+)DhV|ELN8tq*btDpBkK~;n{Mr*|57{~Ng~j&3Wmg_t@S=m z`dz?(1WcEuFmPDl-vm$fag3G?z&Dj6LS0F3p4-1@rSqpwW>^$5qPLdEX3A1i80mgn zc@odv=VRhHCO@58`yf)n0`>L#0pwxV6v7V7%s?s*IynL(!g=6t6bIx^N;eNQKYmgST7P0(_CfOMm61qX; z(NQ~s=Q|7YF@91n)zwd9%0i%6t>ss!zT#U!29@2cg+QN3y{9r3zgd)~mzMxzL`;fi{jBA5T>WsUt&g4aD-bURh3g@P!XM9!+ON9P4OHL zu3hFq8mX+3T_>|rH0oGRKrF1ghnoin_2eT2+M(3ir!*miLuRr4^)n+P4xjLm_DYu`soH!KR965xDzC z+T@}6`X2sz z`Y3QaB^V~;hJVl673_#9A2z{;uPqvSGEU0#M=YJ(5N)wEsw9zs#yu$wIX`H5h+ySO zjH&jHfTAru>+^1+NGTA>dhncq4R9CB{Uc#&Qh`v@kuku2;>RAHV&C$La$dZrMwD*y z2plWs9qA&;JLF3Y7c!1cJ@bo}%ryRo2x}wOEH#siCeuG9i)+Ieqj^d1@p`Nn@}md- z#sKg9@Gl9rJM~`N#40Fn3boP#--g&e2C%Iwm)}7!;1inD{m5T@GzNa;FNN{64&WL_ zEpVThcl0`o3N)bc+8%!xIwc>#8c3WB0?Bx#X<`Kaw9wu}FNP|Rp@veu1cUkGkn zKQ~073n`z4A7fl$bI1zDlr4*XiRQ*?CDs1~FzVN{?4h3qty-T9KhC%=p{z15t}Kl| z3K-n8-?fT4F+P)n^^lOnsThh`xNCArH{5~$5VDx3grJE7E|O`&q6^64?r%Cn!!M<~R`@N3RYN_l{6J?Zv{# zxkN#Sl_u*-8E1ign)?^X;oIetf3b@lkrIjhXN}8!F2v@VDAw9(zgY+Pk-%JZ!QH>i z&7a_NF5-*=165FRjvylwpDM$jttk{_O2J6+RLT?+VT%YJxyypipNS|2!i&nwujDH% zv>+kT5`x1Wm2dt}1M|GgD*OQVMyuIK<6J0i(Pp$!qY$V(P}#Uk#C`>agf!?%Xl~;? zhIk;IW~7MHfjux#xMCC?PIDCVqD}Cge;bHj>@sRZ!%5zZ{{a6(#_azgV}hIdZ$M5i zX}dSrK*sJM)Z0SRO5~v3Mj81rICE8cj1<*daHoHAG`~oQ6eZ(1*~Q8*Lg*Ln|C*Xu zYrX}cYcG}>){%P8e;m(>QNKzzkFT-ojZH;iO{;FDdj(f?hgyx@HsYMyVz}2^&ImAn z0ji8*vLe3OeyBCWe6^Dm$Dj1@)0N%m4Mw^}c=6)Tl;7rF*-AquHmLVxAE|{e=&jzg zarozjD}n+KX1%8vUpG?l^-GGBmEHDSQXL{#@G*-RggOjKF)KO>1xZot*MdpZJ=EdM z%I`6nb7I)DR|+pAa5f%T>XBQr*ytc>+jj?8g+Za}c`M05Av6a?1`BCa2gSI#L-!M5 zB-j*VEYvH_dPTHp^s9$KC3%+kJhr2Y<<=AtiG3oqHaUP0>j>z@ z^NEm`#ejsbZVTPLn6~bV-Tm$PT$Y!$ejm#9r!~~v{_>#szWzZHD+#+8v)oYK%MhJ& zXyys5=mxwRR$$z!td5Ww7zKT?nC3VWUACFKp!=159fMBit&XLxeI z?x4*cF9;v928wK|iGX{&Y{~eCiyX7+IiL`7Q**GcR?AG<{VMF632G+BR!14;Wt8$c znz3x^nf6YCgXfg^!RfxE;NuM^iM?OBp~LX1ky7~82n(62tRa1iv8d>oxxEl%62C)S z0jglasLIl;vl81(4EW#sKrTQkPFni8q5R{oVuzJ87$j9m%FiGFnBmOdOr;r2sJ^y;f7stlNhyACkxa6&S679!lt4#|hYT|ey&zt= z+S4#w^^)ko?F3mY{FS8py*aOg+NB&adRtIQVmj7vdJ@isc*Glh@O28U-gPZBdm z*sdXH!FvvI0*=3ZmPa;D<*Ke0-eddV(h6>UZ1%AOYc6Ot@XWbAt$8Z1V_7(EK}w|9 zABtZGlgs=T&uhH7h3{?nYdB&7-)j28yVJ$zw)8}cXp_-8!Hf`A)gl&C%Z%D|kLJuK zAD+{+ZKmd&40z^B{uvVx3w&bvU5gg4dsU;Z6--)aQ1G$~BKTfG!V!vfheV(#zOj3m z?$(9$s$UqkQh6 znH=!-uR*K0;HR2a1lm2qV$i6Br!|Ha{MA*g1MrdEdMXw9Z1;?B`hN;(_Va3PMIyVU zGTGWrzpQa9Wb%%-o|7lWikH_QHbi8n&C4)GZ)@n&|CV?F8q!Od^Dr=*-2v@RY)0gS ze;TD%%x-0H`dMXU9lGQlqGcQZn4vFbUBKT;w%cDa@!>Sw;_T)nihL(mMWK9AA>1ph zrqMns?Yf7MZm5*zj^8(1A?8wrn2$s9);!vy$5OqDQG6&bS-va0l%V=jF<1!yw9ko1 zBhObMGSaa_3T?#)cvHaiRIdx+NyxZ{Vlm%8dy@LiwIBRPNpPR^CJNc=tG+ zy0|s+iKmhz;7Sp~{t< za7De_?l5_9cLuNl4v%7#X(|;Mum>{_^ie8t&tV887kjaR$3pvu_|*{CyT&oj9r>rs zS0qjp^^XuEGoyS0qwaSygJJq{Kww#6qrZ#bjHX>R4%&54Xyg z*-=Nf<=8u~zwz0P7T{XmcX%7%`}el`6Yh&h%9Vn`8<`V~A|pPq-3hwJwc_^H8o)Qy zRJ=tv0=r`OIBKQT*N z;JSCgSPyf9wI;_l%_L(Sn`4b{qGobM5sSQ(U3x5jI1vL z_fu8r#@Ac`Oj=G>k;3g|-s4P$PwhyP03F{?*rIJ**ivxQ1wv0XeCwe6W6(_(p#}hn~_cONe{j9_Zf1Xj>?TEz> z{{O{((tgI9`v<`GZ_e_*0^Q6)9&|_s(*MR9@7JHrJzRUhd8tcRQBI68E_g!TFNdPfE*yWcH4q*Ji9JZdd-BYRYNTf)(o^ zck$!mt|iQ8kvWNM(i>b1GZLWL!rufw&?Ii87`U1SeiW3O$P-20p!%8=Vf>dJjjIHb z-6#@6tRUv1Pmv|XN~>~4O-UGlriVuMN|5FDrlv&x8)BJjOe|7AZ)^eR&5sRs=uVn~ z4Vz`@-cz?o2LG{E$%}FGo6GCkeXDr;l9wgW{5gyTOK^9qcuTil(qP4JyJ?Iee$-b* ziIq?I zi=0Yjch&&D+mgY$<714SR7Og#ahn{EPQRSV;Xx>%^p#&LMxSB}=JdS#9%cR%U>}5v zw0vmL-mFAF9=cgjx~ePI5E?os6_VP;Y_DvBlv{>5zPtYW!X7koK{6)`p4&bWYW+Dt6&oIs5)aZa0DA ztR!@!PUcpwv6IKXizAya*H05eWAq&1T=?1R!%&wvQ;wcR#`-8NHCJe< zi2mky#>71!bilSlO-Sqy9mItz^SY`|nc@S9C>Ged+{%4g$PZJn1MF#l*nu z-^In|f43m--*3@OBs>aW@%<=fM>K=FrAYDw%ITaNB44RSZ2R;?({;~gf3~1_6;lM z7qH9R_VZUd9Bu#@3nz8jQ?#Z+_xra-5r!9>JNqW!Uv=h>nseU6|H-m83m9+~Zu`TF1Bi4TGp@yc0~ zi~Ufvrigo9Ed>%2-CCHZ_XsUcAx;ilVWx$kD*~n5*H7JtDRNU;yBCsv@ji@ORDpyy zg}`WH(GWrMgpXZ~kM&)Q^+*A(@L@?`4XT~ z(P?#)__>*6uIE%83TvW^atLVNvFKO>n9H74^qI|%OrQeeo^Kt_)oX+2h0bnOx^-)YTd6WLy8IrWzr+?O2HGR`7Lo)~R4(*MoaU~r&fYw_m<0K`(1MERuC z{dk`Bpv*!LIruY_nKGmeJfwx$12w<_zYAGYufaHDbW;t)iPeZ7 z*wDT!-C$sT8wS1=-6{DbCceC%Fk;Sc%U4uTyp$A|S>DXCf;Tbo_t@iHrz?fR{GXMP zn`Vi0pipt(-eyEQw;#dYFt7ZC1`IT}@OCi`h?f?6!c`%fXSF!Eamq@usW9oq>_ql3BdMFIj;%S@;S zwZoqSPWhu5~fa?@PyNkiPjZ)KmpK|b?KD}SrWF=L))CZ zA%AmD9M7(B=?RUEYeIG+KBcdY>v_bDAvVhBP1x}e?bx^@B6%xsId*HNv@fC^AgbsE zcBu^g(tB8LtetkO1wMyEFd_=I?GC*ndJah4rVb~yP5Sxity3Xn0}i+pS(;8fe3_!$ z9aZw42nr5Yq7`?LDq-P=*Ni=^W^zo~=PFAk8F}!+KM;$yWGY2q+^TWZk+@XGqFKJJ zio@;8-pWTNc_H8qoWQzbR<9Px(jFFJ&%8_+XdVip4Bw>=wYCg085T*=LSex#Uzd%; zEp_7!_s1+XN>62NGUJsrUc$a94_vfyC6a(QqW>fh7y5|98!V~TZV?F>$^djbdz+_t zI7;hFfImGwf8EcIjBnpB=C;(}(vF!KFdN@q>9oe5Kq`ruw8yb@AJV9`FHwKJOoBcrr^L=mh5 z>0!yiG?{|FcMt-YLmpEKZ$rEV-561Ay6tfZ7Q*LPwe6;G#OP-T{^oSUQH{rh51u;Y zxtq^r!3K`_6LJDGD{vvNLnuURgX}8jZvkBI4lI#DVsgYF9)Jlpl78|>EMnI_|Cu8r zE>N^Z_$B0qz`h_cVzNy1x%8Dj$Ig00U<=kzAGn`>cTRj5xHOB(v9GA0f2+^2_)z$^ zo>&%ZP?3s5Ro;5N&aD{N8$`!DI-JCii90ejRwW1N8V^GP4;zC3XAkvvbR6^ke)7n; z*T`tV@#K(17^ge>eqdSBNjFL07(n;-mMHdi(1OdPDSKbX?s|pmD!=w6ABn=xs3{qn zE(H&azVsZ!P5#dDSTW&sC83**G{m9h9Vp2FV{cLMq;C{3d3`;4pVzO4>(ywTd9s#K zyRo=_WLxf6O|4=Mu3)N2_-P~XeO23g^_>b51`dO~ABM85FDqf^Qxzb}-$l&U`2*oI zYU;o0#+D8RBSw~r&7_NYYw??>`eW4T0=e5V<9 z%!&p$P>Y+nRPTQqQmv*)(BSWnJJXn<6zvt6g|C>gLw%6h(02w7~$a)RgM< z9{rPA>?9Jx+c6j3A(`lyORb-`mn{pL`!0+h{~=-OSbGoRw>zyYzo_A zZ48^pK+CQh_nwDLsb+Dx5_&YXF?E%Mw25poU%AW%D%U&*+T554YuRvPAwUTvV7Jq> zd^EewG%$o@71(~{srAvqXx|X0oUXEjBZqqeodXq@mh9S6xAqHHI)}z7U(e>;v!tTT zw%x$=v}L%fStWm|obL5(yE_5l-&ZdS+w^ctKoU8ka&TJI3oqr?g#%XH1EAXIHJA+uN7?VZrC^?>3j^ zC{-7Y=d|B1pSpXq3cbB5M?EZ{p9_TB-?#JMpv7)iYEt~uBd2tYvQ7LF$R zOpG>cj3$N*P9C<-7N$;?P7MG5FukLxxs9PckbCwauC>!T`<<6B7`YRRiZ)l{_R;m(YbphN5Lb8R%1lvjg+ zq#dO?M*4N@@Lm4=QZ_F^QJ^^?$mot%TdZCo6?NIRQ_I=hr zt`+aop?xWcRvX?I|}s^uU&X>mK6hj?Z>`Ec{kvw#ySH=hQFP@T!`z;nE$*fFU4o049Is&$fC~3eq&V@oGWw zCAsMW^BkY?2~O?&1DeAluq78mMK`PCP+&tD#!yd%Av7&~I}nCu24GH(Gz34cn$a7j z0w+fY>@dY?##h}?Fa`uMQ$3YQCXu)TS4b6=azwKP#YQxTH^Rq+{YK=lzY#Bjpk+4N z^TZkxG@FHkA?{N#AvV&qH>#WOAbEm7PAwfMF=g8WYK4m6*i|CAPCy0PY24CP-~Ea= zWEZ$ZiT~0Kyfr0^D9YkSnz=aKCCp!(F-quu%^@!9$jq9;C117eGx8@n+n0?DnEPW) zTPL79R3BaIYXBu!j05=P-~R~`i*Jz`hJ!yMH5|wlR~sG`=O0rHOAE}NNM&oFqfP#J z9U`_qswZ5Y0koD;Bc?eLK}>^dQhCX5)4W-`e%U%pOSF?%R`-w?Q_ElN)t2?^R|`2y zS_zHjK*yguTE$jN^6N2MvkYx~!isbkjVm+X+VFT+L{f)TawVEW<~p%jRvC56F*>-} znb;Up+`@{IN;1!K42;^53!vFice0&f3*I)|eLoDlmT>7IkH=QdTAQoN?qZ8=Rnr_p z2CP}^D{O=%;UY(H*z+8X5a0nUtmFa*27}-?Jo6<3x`QZX17+%!l<--^fcZTp9K9YF z@j8?E9_2|9$eNLUkNbxQUTmLqNSm(<)NnnUX6vdGzf6~$=0@9ALt|^0w0ls~CNvR6 z5@?W|s$_T?VbyIg%3z~=6P0VPQlsf*Ai?O_>0uaV*hVOygmn+ahDbCg6cN>e0a%np zlQBmC2`p~#n-~)aF?n%pH98SIutP?IF>NgcMpQ?7dC_jsugyboGp9h(OTjA4Q%OGT zpls5~5CxYT3WWhk%#p;sTstCV?XE)!B4v|9tua(_U&L5J-q}{9UvMo*rkQ|HOtldv z$|y>R8yZ>mTNJ!DPSiwL5U@(;oGGrs8m2rD2my!GJ2M zS_nMNY(}Q62*UXQj{b*=N_ydzv?;oRsY}zOmHIUQ=_EPlZZ|YJ8};a)xm1?MAG}We zi#MQd4+4d7x-+7{)F+8^&I+6JAKtYku-XzvSys^Fnjmx7eIQ%zSS6Y?#qU0(NN2ZT zi$4d!w@8>B8QLlVv2JJ$`*Av>u3}TI(|D2V-yN4vc~=9#h!;z|g+MbmUApXjTI8sI zwB?n&+oH1cpl9iWUPiu~wDWcri=QVe8PQ~r|bXus%;ForQY1i@2b{{gYE zL59H*Q@v><-PGG;lHv!ji4O6OssovtfnSOr^vNL#*~5v9N=|unkt|UCv8NuLLxaWB zuxe%;RwycHKuBljBSNQqY?>CoTmh^Z#q!ANKp17Td+)@8M^75eJN<5O5JuK_Qa|AO zm7y7jtC!zyqpM0VkUsQt4g;EjPo+0W{82uvf#V#=><-(k$>RctFGVX-FmOB=mN%^f z*aRjEIsp~rfqg(%$~13Fg}Sn`mP|KirU(jZOJB}(ttDg)ffe_2GjyNbna@|S<4FLy zqavMQ9rIv)=J7nNpmJ+`M0A;N;+z9Pav36Rxh0UhJU8_(p5)!2pGLfpuqq;{Jw_vp zDBx(vI2wi#%0!OnX!r=3`-@=&4T2jV-eWkUD{^*3fT|rstLIoJ8fU@*jIsU9oqwxl zEJx(m7_txa5g(d~F-yo6Jdwz^96#FLFXv*iKjf!;MroOhp5|P!8{cOn(QA^x!ui?Y zt&SGkTa|=%TzVg!hc5rXcRCqDY!#kmTFv#LH)DV9*8(mb?bdt@2W=tKDXuZJi2W1x zMssNqkuO@w+**)(zC2pFmn8)yHF+iVIYYSO-BqV1RO}5W$q>Lep1-O2z0hQCgfCuB ziI&=$Ad345avMQ6g;Q4sz;1XQQVGDi@C+fqU1yru&~ogAfE z5_G#%wpW^E;qJ?hjWE4^F$YEqw*#0oS}lfkTMQ2E2cZhU2PYwHNwNQk==BfnY1kM*G1D!T*G|w?vMq7jCE?R1Yx{4uxXEeujcIMjQvBt#2C?+&L21&QmxIwPVF=AcR;ljDhXTnj0JG}YmI-2}h1%CS<0jNBg?2s6_Cd|de%1Cxp z6(;s^wrdtsker3GauOs9!tv(T-Bn2i9Ue~9SGY5Yvj?va`cLn4svK^E^|=O&EwV@W zFZz4_Xq-+R{u!H>1*f6C@yacZ&bna9p!KW{;C{AEJ@|Hzf;fvHGZf^CxH?R%Z`_@_ z)DEt8HEw-?cIDlp_5gc_H)Qb!B&+BsSaa+mSTF19Fzxi2oBZW-ykOlnQEd8!)}a5- z*^fT7^^cQ;`Tp3yfm`|{FW`*yQ7x=>KMI-#&z5htf&wtFu;sasPj(ZkMXb0LhS6~A z9?k#9+dBqF`gi}jv2EM7lSwAFZQHgcw$ZU|O_GUiJDJ$Fv-5kNzxLU+>zr4os;g_= z-|m-PclTQTS=S}TL)`CZLETjyc$toYCsBCY^*OgtcBzC;M_B%we&*0iuc>e_WtXJ= zHO7Y3JDc3ruBg0g=b1R9w;KN)G0!z3AqgLblO+VDsTu`slJ@4gSZngud+CoY*#~Y= z4rc8XL|R!;AqJ0yUQIUu!z#bpPh)#2(;^!|;XR|&iu`@Zm()7W+R-n0?3tK7Jx&2T zre{M5AD&(|_9vHsEcyNCqeswdZnVCv8d9g6*W-J#-C{G^L7l#Y_N<<~`ZR_za%f&3 zO@=8?GNmSyd1A8qDwRf^erUH2RZD88mr8)DaL|-K2Yn9Nb65*&2F+=jtY^UVEpd1* zzF=tRN6+)^PUbjN%p5A9eftm_n)Gq+G(Jb1X7pwpt0T@8q_6bxy#K*zzp=nj#{v7S zF9ERi-i6nR3#Y$Y4iO48gofFw#(l(IvQoWB;;J%%isc@~QmKlT!8z)D+H*mr*gC@8 z)nu%&JRE#3=~-pA@6+*H=Yxab-D)h^CBSUMx^$w%6U7h^C+^e3wJ0+t@`;a=8}nphR$~)85|!R zi6Qh8hzsfxX(=P0yARK|yNe9=&wYNWM{)@D4w3Sy`|j_tz?n(4odpg0FF)hTe|VU7 zA?MI|W*)E5%YctpfRDoBmNu5Y(mRDVl?Ylr+3B+)C z)ITVb?uCA(4Go-(1ghjYeOcsD;>Of2f!ejI|jRfEskb~F=vUF()MEJn1u z*{g6&v(IRPzE(is(>5PIHHNv#19)va2aY?=-`~QvxDhYwoSk8q(2;->^?^9)msvUt zrHtNSQ6QTQK?M(KQ)(oW5iEKNGI3&SK-r#>(x`_Bb)-4&CpXmomR|FmI7X)}tD+Xl zv*_J?uAvKcTE9yxCMN?_YPMF0>O@qTtF>WGI?VolTwZZ! zK<}1V6QlRJ3`9%sAM7*UZLr)qJYW0!%TUJHU(P)pHvZLXuF0(Z=GC$hdN+ps)q}6P zJbSAByc+KIMKbT={orwgcW2gzh{<4e_4j_bZo^xzKD;mCcGF}3yf@qXtM?-Bw=JhX z*!~Xw*6H5G@MqS(Rsi6BSQ+PU?epBaT#LK;;&cjTxCpUiv+?dV|NLW9wN9(uFz|0W zk9^Fm4+hs()lT%V9*}&tWItdtiC}wq@oV1WgXg?;^H+`5Mdjh7>e=g$zn{0c)%r%o zcrNT2ZKO6!>3=y(?ryAhU*XL;#7J1Rb{jEZ&7n0w-A9??DDGx+-<>Ysn1nZ0GzF1C ztbCwyFfNB@eY_52wlka$rZGv6*Ryqg+uYWO5NJ255pKm}tlR@wHGdPnWznSjUM4;7 z!21S>8oQtPIu8?GWk+0nYm`0*NZbzvOZVb>oxsU=80T`2ysv{(esyD!GujA!K}6e= z#CwJhUvk>-4>5htzZ~kwX07(8VAC05un20&9+Xf!Y@R(Wzjx$Vq$0~NXLu!*3M)`= zq^UJlKDDv*F=N*7UeagZ2*EzoFi};_veqlm=TD$gxrL*>?OhphT4nV{XqB2M5N==- z!RV~|I*7@aaK;dS^5n|vn5PCJD=`ow%%sn8LG%K^HJcsgt@QtXuU^kHq=E6v9O`FB z?7Z5|Xue>!BgE}Rz$JD$5paye^1^m*LoW^LZt;>XcNe%#e<15f!n`yNYcw#9_=kOk zY?^K(iV7z-Zv~?EM9Oi2ZmnD}94Sj4W%p!E5KeU^hB%OVL0xLVEK53E{lRwha4R2h zRJp5Q)t?-iy0zTo3hp#H=XLdSNHC@ZMV%6p?^uxhy<}UT^@XYmZHS*4B%m*2Fe{W&{MNcXYfHD;t;RHb*#>N< z0aX1CVRM-exrX-m>bE^1HUqhu#woy62kM_L+gsh68-oaSL23iOakGN|_P5q!5S#u; zUAMe)HKcZ!aJ=)PynJLLIYbsLfV&5+Z}t&bjR7y1m%zHBPCyrDfK8iA;N)`48B%p z63hTN-=5_s5wadco=r3sucxh#_vWh)T628G7$PXCWhT*x?07Ez@e!ar|B z(ghznDF5gvx+adAZGB6tCDWA2UM|rujKYZjx;-{cCfn4LuSZLEu?G%s|JX6ClpP`u z7mmQR{dRK$M6fdg+HOX7)kOv`M{vGITP|I0>O8k0Qq}g+O!&UwS?gexO~7^?PynfX z0ELU#r&`BH zAabs#(99yYte}^mSWXZHwod5ELv_wO%QO_i3@V@hYMty_0EZWkp;WOF-8GPe12k?(ced}bDa3YeO_gji!H&14ia;Cpu_eGhVmAM{^vYgpOqvP zMK+||QR;TOUEyrbgkP(Hgu0hWD@I=}tKIga-6jN7W|HKM62R6KKH@a#-TB)>b||3b;>ONCK(_8i)7pr|DPn|BgxNgdcdKK~<|0l_~(YF8n-y~z{f02wSl2{GSnSlRI zGG3B;_63>`Nf$sx9As!sVPEi5Z zl#H91OSX`z2fj$7Lv}H-XuML2N8&q)Sq2WL>jY`eP+T)1_!! zey-?%_I3AHAseMafiT4Pmj9_k6=qdT7AWS0lnZJ$`a=mx?$G|xF$fI6RVc0v)1mzq z1DQ*L`{Dbwyp>QFzoLJmN`D@fTS)PzSS9{2ipEIoOB_$0g?px*V&94HqiLX`E;FQ$ zkXNxTskA*K+m|!FHjV3wH%3o(9^HoQ38X5Fv@qQdxo}1+Hz&i)MVHo*7xqo47KDzB zTmVO&-IrI&L>K7?iUB1>dC3ZuaYo=4-W8OzJ?$PZ55UDx1v3NBE5FJ2noM9SQ4`f0 z#}J8*nllg2BV)-fp(&PPXQ}rPg1XJ%HCyRl(|;ByeH?H}7`fhsE_Dh=v5m+ zN3Y}oGKSfJRW3ZNWKj}U@)TAPobFG@8ZNO`loS>v2^5&tKGeW>yU9>*%_v{TbmA@k zhKQQn_%8gwV6BGE% zW+`;7Sjco>60|AJ=t)TM^N+T0152VD8U*>UGfSKSdvJ3SPh$B2=l@1BX8b=%#+CmE z$+!r)j>}=0(9%Q?Y5LNxK@}xcM0L%HVJv`_r2P zfk}F-LD83?D$OW?wBaA_Ny;;=Krj+KS#hc+HpOCUtVHflPO#F+rN?ST)Clm5gX1cF zwW>}g41u69KBaw)uKmE~0Kbs~rt)76tavH7M?XjF1W)5t7i*H$CV4obdArJugo@!r zzF~K8lBfw9m6k1E5}XLuq8Q;GlP7aCxDxCTpJ3>tg{BQ*nQ+SKW(kIxu&AefsXtNbD3 zU78D7=Km+lO<}`5YRVL+BjN>rXQnu0bXbi=B@wvLOCt5IS-c&HatIXYb%5Jhk$Nh+W>yZhLfNbo{Ln)0Pt-qIRdNL5 zKCktr5}hgC$_DHtxFHSTIbLnMML<5eyslCDqO`V-Q<=CG=dnpg;gOItOl)wu@#VT%4qs~u)(zfrB>>P4-FA+c##6`ImMj(IRLm|@CU z6AH7L%cl7_gNP@$wviq1>>E5b;hbggC9EgOD&)(H2ot+CPdM@$H6|`5C3h)t>B<$u zQ0p)h3H}6^jhSCr?y#q~j|dor>w}Gh!QgJh>vsa$a#%wAXw9Tfqdi`!O(dy73^Q1EpHm%w3J#p2@ zKFT`6Zq3pzBx}*d^pEK?Eh{AE>`8W}vnjE`0sI1YDKe_J8 z?^bM34f^oLWjz3DEnos-3d=IEPowyks2Of594*h}gLN9xz}`)TsrkKGR4_82#7OeBAOIUPC{$AuV9^o5wB|#0D!r=K{GR-X^aXY{l=4R^1h!&6v=x_;>>#-WOGec;j%48{FUV$ACp`!;);z`ysgh=cvq`AS z|2M@L^FJuY-v3aHYyL$s=Fmam{o9kxY)9dM0(sg)R_c4x-q{P9eq2fvm?0z@R(MDj z{}>CQH3wlDXKr(@Vz0Zou&T*~KtY?YIQVcv&w`{GTO^FI*KfS#am25A7EempFrdwI zCEYHfOK(M605a2G5ZQu1z2&q-QW4Go@%N}KvtcxpT`$@Rqg_#Z2v}}RV3CSwtiifl zY|gPG7D(%+!U}Psx(ueyp(8Drsi3d?SahZl+z_aS>0rxaFrTbS9L+uHxV*6#&ek}u zVczNl(djAh5-uaPj;*2Uegs3*R~fl|{?}ED`Y~KPFAwn%B=2j2;qSW0-f{}YAXw`a zd+%9So%frL2jg^}PIw#?$rGh)$=sb$9zJPC3yo;;;4J#e?J=>UY#0I<+Pd%uCz?=6G450=DzLD3WSgIu|1=2Qruq1ThcY>E|$Ekr2QmsZzDDAlY;{^+5(RH+sG0*E)s@ER9h>740 zt47O3YWk=nOXxJA+L;Jkpsk4kX?}qBR2HxwzXDy(VLq=F+9xWjyoWj97UV13DpQ%` z$-gE?WDOqyyXX3Fa`&OSST>04N383)Tz@yN$zS#xO?>#tLmcmy3UR%O|I`yPZnJF= zM*Y5u#nsPk=)EB`5%6&Nf)Ix3oVD7e>!&%3f2)MZJwf~H8|JokYd<>ULj)WhL1XS0 zhkol-c=McrwPu4vZ}9txK<(akmUj9|4(|s6Y!;#30|h?7n+bm~d+J7)D>;QVUiqkT z#|NaFq6T{+Z6AIt33X9u0(5`Z*s!E{20JdT=E@mW?F{|Q=I$*lxxAL^b~oArp87_ZwW!I=l+_7jkuHLn*OFCg zIzQ##Y|2~%g{*H95!idx$%X~*_)vA%4?@pvlxWG%WV+$Q4jy7Y3~sG8*Ql8UG_bG& zZ6i|L_n`t}jNd`}LM1NlmIAWfQ|Lv475{){mrs z+hcP|0PAW!&AuE?rlB*())8iTkTP!P9L=F$RAY>^#@&ss+-jT#-@R^t7Z6#Yc$@>I z+h%H^-aG~BQn+xvsarZsMD`8-F~bfME;5;Tn$s-`a@?3*J{325IHD^Om6YH018Pdz zdHahBLeHYBi&^jRGtKTqr1$~0=Xl%SfTC?R=xk15m+i;=8?73QHc8z{6Zc0XzRSRB zRinW+iJWvb;iXg}-J5gEBi)>!zzrTf1Bie_6(bi0HP~LAF4PVeLOwp^c(Xb~un==E zL{=;{QJ-R@>=qb;!xZ78j$SLr7dkAD5s$EJ1C28dZiAQ7*?|Y?Xe@jfkK?Hz1n%@o zC}BT$N>nGTJ(PqNb^zP^K}RQ zBUnJEFWT26ZSQ+|~qYQ~%qhWok?ckd}%a?R!`F&E@NN};*Mx7Vf)qjx%b z6VhW(4L!#-nL~2;M{Us*{urh|CDp~T>Req^ECzSwX{-h-@EMPOOp3*gzu0u$ibgA~ z`ND{#zDJ5Ie`K?bMhReW&!fRtcD7kdtNTfd4~c*Kk+Xl_gLNVp(0?`f zxxu;i94@(eMqw|sBdiPgax zbW#Rl74m91J|OAW>EAv;GK}a?i-L>P`V@V9zO?hFQrb81{Z`dJHH%Q6Gnk{O$f8S6 zY&RFW5XUMFgbnjZ&-9ig-CR%>drqN1%_znu8m?Wm+OE6YzzWW4p7?O+c?QDA&dG06 z;2*#yhhf7$f{ejdEk$D%U}wB?sWApYYAs+Sx->5@ru@(c51}?*TitTGcqy?S+mlH8 zjBN#fM!vPQg`vq~wpLaE1VwRx7xpk-@+G*o=#%wh^o7wL8~`T|e>QN9R5gA;#SMX@ zlw@CUF6#xF4{d#V1W%&+KBF{lJr=-Gw5t-G)OGk;wni0iZk>gH_TyT`{}9u6iAC`T ziy9rU>(cTc#P%!ojkzwIG&KFW?UQRQS80(NmF(Lhk^DPW%y|?)bvU}$Fzo1Z;Dx7@ zJ7HI=lPwoZCTIw*r-e#l$(blP7^>y~3tj{o*cd}L(TMG4awWLicikf8HYtj3kci@y z4{lD@bN1L5fz=Fw>4SQb&j+iCg=8LHGNP-?@x_5GCG7{ht>og#a<>4YNXid|vNchE zpe6-}>Z3qfQijw%9c9+sh^-iL@?2F~QW}{{t?XJ4e*%Ywg+HYF0d?TA{77op#D)xd zitNnpSIBFK4>H(_8GNO4O{ZzyKlu~YGknTp$o|xzkQ|EZ2#^IqG_Ic?Q9IwDVMfhI&`=l1HK)*6X;@yaU+MbHBEYcGJO=U0(5~jlIQ+OVuD4#4G za?dgiP2o&KkbH2y1}mo(z28}vnU52_|E|W!!>=4G=jpq&PPzUi=YBw>IwlQ;e>o;p zky$%a)VtHNn$`{}7Lvvi84oo#8!r`Erpqm2PaAgJ?i6lE6Lze7fb^lnEpqjJvTQ{R zgMSSZRFQs!#Iu7Arpo^X?A8Q&Hg$OA@y&s12Hf)O4*#T~&U0G?`csXQcUA%1UXGLJ zt_pfD{e4o4lc!G$PQ?RMi&tXx0y~TBaloWHZau@^-yoj!BT(XwiLK~B5I?;@!O5Vy z0>oM!ePz7@q@#wW4sZ(W><=bRJ#-7oqd=TWI1?CI_a11SP|q+EBH`D!oVQ-Qp}^U zr4Bh(iyjzME(AWcTTu%B(`0SChblD+*xg}hb6k+ZKZv|?j2b0aIYn6@wzVkVL&R!d z+JIk!W}-ud-+)chN7PZ(u5tYWFSGOwWl1W{RIxe_0E{Q0Y_EohBR)5)ikP;APBR*L zj7E?}{Hhe-*y&@Cx+N{-=HWH<{cJ6v2Hr}=Htw#f;}uy#^G3gs5sr>V`92i8fO`z0 zE*f#_h9FQ(O^&P$Q289X16TbV*z`?4P zMsY`2hb5mS9W1+2tw91zCEtb5nhX7T0d_>LIUOEYHGH*?H`nTq1fkx9DlB?~-u)ov zhN)(#TA;lYN!1F^Y8dZ@sR%n0c{3zHR8)zqixfK1u#na9rDuuIq8Yv1+P#>j^J5sE zTT}U_W|BiRoAsKt^l)?MFO!P&OLJKl8B{?ePJa{EBG2_wdg~`YOpo0Q{p(Z{qojpskE?q_f^)cfT!molZGc8@fmTeiTZ!gECdz3Mq^H_Ye z(Cp@}(`Ec#ybM>uZnY%CsQgA-V@mU(2S< zFMtM2=i4Y|^mUmn?wh@qh)=ztw5EU<9PbJrnipHD_SBZ|s#0n<);WFYBpYfek9PY? zo!OZ}adZHA5+9pg=2__uN6~DoSAjdsja(#~M3tr?h9qYZarjQYq0CbE6O~*Ct6 zxz~L8!sy-AGhRvqADT=P_BL%qT~+yOS%?O%QiCpcJ%ea-?kSRuNSP3Hsu@%$4v=tB zJ1;9HJqT7w#IY?}lSggBTI`P^DRvI{YBM&(1p}n-2zM5dWpaS!HPFvNzaE*oM6>Wv znhGxH^gx1H%m}$__seHxtO#c2y^kFm6kEAU+{8X?_h+!-`B|K;XekpLL!4?Pr$866r9dBQ&a_-~ zpedKu@+hVa0vY_{r&Z-leh0@DnSozK_Ii78alwX-gIs5bvoirj6PtsWY+~iB7Js+N z62G8A{VX7N>7nfUn2*m_e(f2inQSr>fLV&L6QYW+1ok0KKyQm+D=NuE+28@{Gz`7` zu|Z;&SNUR0@=xR#dTTVnV!jlQgo57=Jy2D0zodU>ULynY=?Vj`2BT<>11kY$UUidV ztjsBSw}A&9(%(b7+fz*K#q)Gr41m$lS3vXVVYn0@>cNTvR3oJZ{=nPo$0Q@C(cB@028C%O{Zb^N4FF zkk$8~9BI3lf=GTN+#E5HCRmw5v>eJmCB+6QqS2~<#7LDSKbIjt&25S*RSsF0p;>4h zQ9K|z2cpusmIkT(#mPd@F@2gDuqs(h=`tB4$~Q|4jqnKj1-8(NE{7lBq#s-&+V&uI zOQLOZoS!h02d3&1k5_wWan_tPZ^DOCKh40IB3nC%&7b}(V1AwH*E;H;z>^9%sF6 znFCIB&pIaBm@vmLmXmBp#a<2Z4i6&yW$;t%lfp^G?s`sfyZEx3kpTZM{-iqV@tiy5 zC6&c{9H(|w(9rsqt;8(f`?L@Gc0Yxu1ZDJMVVpxK3Zlet<)Fu>Zz|oj_>>J_?(YnN z?3)$ntmB(?4$n{D6L7nhFdr}OkJy@d#H|v-CS^neqJSC1x6(bV=DEqta!8XRG!Q_q z+b=q9HA-_3Y^v?ltdk(QKou{byVXBWK+5Mul;IO0gl$ppHB0i+lXDbUzWqh7&)`3N zl(86^U?e$D1XTxpE!jJ~;=GYvVh}%*t|l*!IIz`0hQl}`8hnp}($#VKB|za&cuOdT z=>3iMzZbJzU-hxgOk;?6$(zL2SRWf#&-3 zSGaN%L>-&<%qFIpjzDcd=uj^k0}Xc2+8kXffeop)SfS6f`{Yk{uKMqgh_9MuA#p~#iS$zA^$S7B2+d@x{$M& z8HuyPWgC7vB1LR-oY<2hs32zTGSpO!{9?o9XEv>>d4Dki zn?I7P8QImxe*W^Oly%yMB{9z|FigR8xBVQm{~URS>cFp*(A+app*}l@GHp+nvGL#a ziE;z$F;04+^HD=ahoPDGPM6)(G=knZQFrHa8~%Kvbz1|^f=;gG(|0VrJ4g~FW3HC~ zw0PPpks(l)Pnq|>fceSlu%Vz#taq9S2LLjPl z+YHWm&08tMl43M|gW>97kp3b+Mp105-gD*m#)g#=a z)4TMwjwoMG8C@DNg&Ys_?;Ix*G$yp1@Chl(iOL&4OLNS@J`vY3;1sahQ5UFa;EW z!(G3B!G1*6N(SsT@>H-F2W2`&YqbKJQ4d!)b1vNVCVwc4oZ!p3v#(h@ypC zp1xm~RF+=`C8qOZ)v>PEELn1CDbg${YJwgF6Pxx@q$7%)${i1laV(7eSsXD>Kfg z2!e+B>zbviurNoR7pz8bLes|Nw!f1PX&3aw@!G?%6F@UrQqfa`R>bM!?nY^s!C{mj zb8sBddDk*mh7kP~;k9T5^J=~QoleI-oEr2Dx!|CP*jII^aMqg?kaS;cnFiNFmdJ&| zz=)fgGsKY2=!PR#mnJ_EjCBg|(=PRCTUju%_rc@SSO-Id%cGfNXLPz~s)XaluJV&^ z6j@z-&J$P}81A9o#v=H}63K&<&~%qp^?t2pe=--Xa~Bm}K9Uf0d9?+$i|Mtmi+tJ= ze7MHF$-ku{+YILN257{I|H_KVEN_)RtUM^=sW$^K9)h#{YTgy*zSSW{P`)g>_2KKMO)oO_auR zDl>t3jAnWYY(Cd+iar7`lDeB+kW2)71u=B&shvflN&#b0+OUoGKm7baCZ zt$uy0!`~AyfDCxP(cKdvbpY+a8L&#X`JsbR(ZrK0n%P6V_|5};w`aHLC&uWml_03} z5%7AAwO`Us#KmtNQZ3w&Ma6_GOohM>H( z&u@+Uga2jnfICA4IUa}kM_jG1drgB&1cI0}lhSELWtoP8Ki!?*I676|v-+?}6rd*H z=)h`ce;j*A4oR+`OpBBZuRkak28L4H_#@qMGcC`TWcrqKpRShL&uOtc@PFnzjH--t#=%;>g>l`*>Ru3_^YgR>ZWqse9aREO&03 z1dJ*_uK0&;X-emdUL-o65BhX)XK!&8S-Or&pXMYl?+F!QXYW#kTjT)ciG`eAU z?0}^;+Wvyeg7gNrcDQdd=FhTU3FI8q?k5eK(8{%M`|RWOsw=v93FYa%8o*;$u<#th z_PzaM3@NpiV_)XH!sQK%qvptlNyf|lwq3ffd&{4!ZTIfjK*+QU0Kt za8kfSkMLeaaY~LUh-~J9#r>XYl};JelX_Ws&!Amw`$*m8^)UX;jzNTdi)`hB%rdD` zBL>z#(vFhJ)9fepWe@2@*lOV`nnnns%E@GFy48MzUHfI(cijo6dPe!hvBE(_yS?X^^0vT zgCJpU3auYDsk=GHIr;yDeRaG_J@ zujMK{c(0&!Hv9XX%3;3LR4`NKD`W1kY&PCVLnvKIi#1s*m;z{$OoH&JLrRIW*;HEt zNc@O6!(=K~yBGozryfMslRrl?svjpiK%f+Wa3wlBetT*|B?y_*W}h9_PLr^HXOox| ziGa)W8$N;+=)XWkp~+5M-%d5@0-6YzZv0c>3a>Y|ZdONmz94XgHwgA+XPsY5O5Ntp z{rnbDRTKO?GaGI0KfogjF8Z=_?(Fh#(5TFDoixHO02+{~eUmpYpAker@3 zH{LC2Vd6<>fFv4@het*^R~iSl1fc-`wHvWR+GCX*L(Ho;SzpEHa3%U`uS zcf<(vZPq^Il=bWaq&=FcA9v3TYdLQy4lbV;$XFplCUSVmSCJ0x{Oob|O{Z@teKK!> zn2Py__2+hI6McI~$k@y*6~bx0qd;#wO1->}O=D2PNC5x_=i{^L#Xt@k^L5oscLefD zHMSi=kzB|u1u-Tc{W-&NDu6hP2DhG-YqmkNi|^ei;s$lQUKl-s^R&(GF^3ks9F`Le zBg{69TeDaruza)j{2{w&nu*IUTO}gE_Oy8=F;;eg_sv;7Y^)P%s(}tcboJ7~;Fw!= zk!~uH4V}*vmHqptl7#+D1ow>VWL+L?@50H~x|#l|mco*dJTMI`sOa|xah+wko;T4H zg;8nQP83utd`vJ@N@l%!xCYo#u8Xy|@)DlxpGFqQpr-OP(dvvP8nL}Mj9(I66!az| zt6-c&V8IhrG9CYU_CO$l{?Q)r@s|27eHdtDwhj)Xp?tur)Y~NTjh`mNO0<=PQ4*p~ z92JJ0Eoj{cDbXN<;a{nW_i~}3rJEdmWQWTG+hJE(C@5cWPrw|i zv*d5W57~|f0`v9Imu8tqD2d_JZ}xN%u?&TI&x#>P*%a(qom$@&XgWrg3)Vkwb=N-4 zf86T5d3^}RwEyi^XZe>~9q~Wi>R!K6OGK0-;VrsNBJxP3&mu+pRvb{q0td__td<8%| zb8|Of625G1S-6J0LzhLG=KAcqaWibvqCy{-qL9`kC$eNgxt!vKbjZSxn(ns@_GCc! zt_~^!brnfeVi3+!;oxz5x1HUcN&}@5MDS-MYx)n-U@?Cf|r=n zjb{D81KR@TDok=-nS?G9vg{{Wv8E6k+zb~JNy4<{2-{ISD;YxaLdj=G7EGI=qNsry zP$mvx#WEhWv6bq6vyIR|8}S=Gsns<7bJ#8ih%g$v`*CXgi9}ZdAb5J z+I@*zm9^?Ar7`}Be;6~*XXat!;8D}N`x2CdqRx#qF+TLP9FxFAx{Z7M{dtgDQ92qV ztCoUml^BqT0Y=CUqbx_U^5;JBX@_{BgPn|)^hCr^!HJLZ4oZzxgSVVCL(7jf0<-Kk z;r<%BEJG#xdDqcWE-Ee4ohF=(hpn7v`!v1nRKxz_!Gs2y2==GRz>G%$tq=}y6sY@0 z!Z2op7pPPCGAjEF=}@*UoC$L+ua@jpKi9Jgx$+KNGWQ{H3B{f}P17hcQi@$)(WD-R4Y>DoZmG%PFp z@)P$_gl7xYwx$|@$|#Eu#ne)hSnpqdGp7A`=Shf2yne`vu?#Z&cI%Ths6a9VBABtu zz8`BKiJE5xhhf($?@i~?uqa4NS{yabkpIyF7twm_4pSv&QmD|_P z!QS_WKVd?aH!h!S3kJeH%6$o+zS<}Zy9Qs1=s{%8Q5e-}i@t1pomb-l2|kjGBUO{WxkKm8~b@h^Q`yNTv+OQE0UsdDdd~Cc36t zby90bbdU?kt%oe*5a`LCsIkl^Atb?m=E(r^M-hqxC?7Ie!l#iLe9PE=JLc%asX3I)NlN1wKSF9HU@K@Y;wrm*bzvu6gM@F(pQ3uYDTLQ=e5Gd znTRMbK*BWr?@UsV2#Y4x3%V9ynu{Wx5jdu*q>ye`8Bh3-x&}3a%B5u{kiwu`2_m-H zXjp9l04A^5#KkuuVhPG>buzi9o< zZcVfB5*#FOPZt)}b$m7E5r7~sGDoiEzAVM@03S+0=6p-~w^v>Fzr5->D6>=lyH~wMVrAw$SSi1|02XFZ?+!&RpFfPE zU3eMKQ9KJAmmwnCetQaw6O}6h)YTwyzRN>*Ya3YRUOB#JBCnud(HTX;TO5?$GPq%w zmBX!~wZ`uBoDK^zDP^W?_w^nFJDg5@jyUXQhuOaKE~mMn2j>h1&N)l#o2>W^KpJN_|&*#lo zW*Ph~2>6I1m-4Bi>1z}GoMKEix}id$sZ>=5(?YwE--^356mI83G=uUFu3i)%023OL z86^bhfFZ=MWRGk(aKw=ES$N(g@|El$fjE%>KKNQOH+XRJV4f}$d8*#Wh>k)lJT#}W z&9E#!q(X}O-N;Ca-y)zfq?tPsy)%KYR$YZDuU!&6piiMJraZ74$c;_lqYQkIrq#QIJ)edTXW1T4<9q z81#O6S@WLV4URW@Q>Yi%e!Nxm_npAl^FDNMZn~!YMHRbDkmWyq{*&Ej#3v0CLyZ)H zYd8jD!g~%|Ddr$XSO~dMJOFR7vZmFIufEnIjID{d2GS|>y%fxqOBjp=hbWH3j%XD`8T5v=*hk=*RXIYfyLyph&S;&k%E$x-*CQA#MZ zBgmIl62hMqtvDS-IV8RE3AE4XWK!;Fhx7MmJ`G{JKKf>KWUy=L8La;#j{dk=&#>S^ zM$Wb#ey?`S%SxeDwPEs!=G6F;7e{}Z9@u_G@+bq47gsZC&5X@Yes^(5+a@xa!Dd9+ zgY^VSGsT4XZCT5;i2rx$s6Mt1_dilcGbzsif`4U>lybwl;)ZqqFGIKvz`4dhnWM0O zWsWHSFPS4)DWgY&wyBjqzHS6Z)DQk-uQMo{s_Th=rH%-~FR_wbIb62jW&_v5hOB>U zZ9}-SCvC0@Uwag!@TM8*kWEWh5?f2hGps^ytR9~<{2>Q(I`as`2Fg^9nEkCm59a(L zcRuHPeJvuG*&B+CmUF^CVW5G2lk(GLjm9Hg9U=P)qH^${(O{c+58o}wuzGzz;2>8F zRfbqkYv5R+N7x->MxIIK7jbt-5;S+TV}zTDXKp13f^P5sK8D+C`_D0)4HhOWe#2}G z-6ik#%CerL3h~R8HNLI}n|i@?zgV!5&Vo(d6ao&A7&e~p55|gUQ93+6a5kKaYi0w2 z<#)*ytiLTG^uyYr_1WZ3!+}+v_e?eGx|!LcC^UM3rV7qXWe{oe*Y$n|%*Jva1c z_a7tQXfrMFpVWsx@DUD<+q(6&CZDd(j=Sd^E$~0o#lKQqz`w7?S&&`t_3=NR=1S`6 zX8n=toqkpZe=&fH;=j8yha*6L(*AbiqItXmOCLjiRkt$`7yV4ZRl&h#)sN>fR@}L zhog7XvJ?lr`7EdoB7P3cb{a@fB>v!lsMnQ2A~~4EMEpqxdvhxkerA}(59JuOF96P< zYAZTeG33ohLcqeK@J|{Eh8r$=LZDW{VgJwo(akbUS@Lo`s?);VAB;mXz;kfdc-$C^ zk-X=KO-N=j`Z`~cIh5DQ5N%x6kQr=WbnB-$F*ET13gjS~=X%TgI39m{`GwMDpmb69 zC`eNGTtZI)np+wxbVW%d`Qf$Kuq!cdO*mrQrVH>i=Hc&zu2_e}B@X)6BEfS>QG8Md z&5eVJ44E7-lgtW{WV#^lf_uDlgGI^mxjTQcJhjLlX-X4d!UZJEK+FH+xa8`HzH?m2 z$dslYPoyBNz-fHb2ZN8YN$=_e1`E?a*@iQjZ(~A@5K1_FFAdYsNjp+!0ul%pISfoU zM=;9x-%D4I9l3KS)w{xR3pU?C8ZOmMmfzgx$gVzqB(TcP){RAVw749!x|sN!7wvOp zOS&#pwSoFBZOU!F?7*wCK2s`l*??{ltWF+pI+AE}c!%Ic&Sj!Csa#`mkfQj; z#vXo#0WnFTT$eq$$w?UfnN!94oCj=y!2l}nTLf$?1{}7=^s(i1*leojC^r70s(!lL z`r%SZ2smJ}VEUZxy7wOQMr9h9UaiRpw8sz(@R@M70H~#oEnUtlVLqUKJ?q{EP(6KI z(37}L**{lfIvqW0hxkR;djLHH??1|+E&nEJm@>>KOx^$|Ik>jN_KOAUTybX}4V$%n z|Fn4wPXFi6G-8*)y|~Bpl-I%xfUS$BK>xi@;`ue5({}_2bL<)!lNbc87fHEuFt_ z`=SM!V|z+lVR>BJBhM~P?PHmItCh66nzVY)N$MAgxwb3RHf#t`qH#~k+s3~+^ zw%>o8L@{NXzRROX0lcx<-vwuMv!;8KJks}~1Tvp!xTD_{`DW~rWWgEPETb(p>>nLp zvBcvB-0#TSy=h)qqli9SfpCLJ8sQ|eWr4KaNKOJ}`~FC*6q>G+%=8qR?y1h0YsoSF z%Bjq(d1`Y%i)iaOd=bki^_k3U+79hvMge00_;>yN9%&$T^GF8n%yIP)*L$TGeixQ5 zbF)YR7Ub)@JD_d_hHY7U)3p2_mP`49)-YTA9U^}l3%@vLD!>W#fa{v4q_wxpRoiYJjse#hcS z;rFuaS;E7_8N)U&;5#JNsyav;C=6|Bkp4X%mNDYg-~uiAOPHkBh|ec(7k2SnM8Cl1`JgbYwk)aD!`NmF(bTJ&icUc1zRz$ zbJuO%{`idWxo>xUsNz$RH~}tSF99Rl>GbS{=??%zOr3si>B3x+bb@RIkzkYSrP@e` z|6~!+j;li-+Ahdu+DbzXxsr`o@BQ(!7b+(>?2IR3Lb1cy2p^8_==8S-2kFdAX7IMZ zbF-+}yE~{dyS5Vj?6!wAPUK^C5zJb(rc}PxOHHp`&ikd0r3gz)T3=LnN;JQ6nS_Oi zs)6DDET=joCQ5v7Xw{kQ25hU`-{mvs(TePzHlIy_%=gt0d{Lk4B+s18j)jT1up{51 zHy-DmSOiwEi%KOK1kQaE*=AYY{l>;d*tcKV?*?2TVOuw>De(BGQ71TS-V=SOKfZyP z$Ezcz!4=NcIa5xAu#^Dn=BXyHn^_XqlEqi65|O%7naY1k-k+w?^hEPIYR!tJ8r8Bo znzDG<`s_+oL-uW&pLIN?8rjO{Xd>=MXx6r+>CFD-)6^wS9>6LDqD_Wkr^!YDJ#o7J zoct*&HFLD6UfO0%JrRL=6h_@_sq=!^uTvExSDN}Xj);&Pf~E3-?@Pw)uQEAuH|p^3 z`hdga`&H>al~R-r9hdL&kNxO4kyV%}3T=I(ivvYHi}PAw#z17K(s9E=#sCAlZnk9A z6qy=LXccQjw%oQ{qIc!@CBvYCI8%y0tIW{TgpASO^V!1I>&*yW7?`N{=!Z6SDP%0s zw6RhR z{Z~bIMr=jnwSCEO;)jl@5!HC%ku+hYQ>I{+6-4C%p#r0*aPIk|KjZ}gX0Jm zXgy1^BnvEA%*@P87Be$5Gcz--n9;JBnVA_ah7~h2Z*=c_NnY|Rl~m==&P-2lPi^ha zcF*baoj!{lFtx?a{Qz@yNEs$3$IiucWhEK-=Qtt6>z)<)({#ezQ=?>icb3a&ZXN}$$V(eEL*$fC zDC8?X0hf8}Z2S|CI=@E-abMI;upRPidbk?|^idkLYUiUBN!DrR7hu#nN?rcwcLhL; z!{^BOgr>WrhrK!UXVY^bv4kF#g2`-$c|6q`dwm0*HU`w&ncuujW{Z9W1sJ&;c+}CL zc(#Tg2*cv--_pgxSsV3PAPyKykUIuRwF>Q2|BTrQy~93FK+wn1#Eo*I+WBS8Jm`2a zZLMK3-CgkQc}pc-o4)&r35RdXtMwbkw9yY3$r-t3`lH{18~HgI{L&evA70uG&wy)hd|xR5bu|xfSxe2|#U^BOG>Pr{^t5 zrQC0}f2aMl&3iVtT&($IV=}0OTvFsTJ)XQ16^a9LES+Zeu#A~PgG^x{k^ z?D>>o0T#Dmh1!{QXfhSLquErridj>WuC;$VPHwFQj(F%oK@Kh zQWVL^p>IaYqQlk@Y~tQ%eFGt*IVmOKSr^2K!^B_<%!RzubV47exFE?58`&t0lkbrW z%qeyex^ug4yOu>F9F4hsRIp%;o46Q)2=|fIWyKap+B?M0>Gdm;ieEF@sXu9hm8a+# z1^v*qZ`a^jO*bs|U;TEsc35G|%Y;4qvm26ooq0ygOHMmVS*t7t+>0$}OxJpv1e(6U z`loW%Z&3Mx?eiE}DBou#4s$i6+R(!XIE^Hy6Y3S-3#@Bt4FYD_bq226Vp zY_QZ#=m4G*ZbGZYw#uH!7>50{*9P42k2Iq9bvHwqRX#)aOzTy4h3u=mY}sJ}EAQp!6BW($(BV z5eVB3&x4lFxoak+s}_G&f1RYl36Mo=1JlcDu>jEL{hEAh&mZ(O!dxkBgYWK(X2IRW zl=!;M0C7;QJ^YB<=+uJQVIkO%y|mA?GU+P%rKZ?84=V z?rk{~kmO%GCCgyozN+*rabazf8~#M5dEGEM-~X##q}u{MUuT>eT)$hP!vArp)&KRk~m%ag*3;#Wabu~Hm?)#ac5q&_J!?E;K3co6gUbPCp|&M|eG#e1leGe!rw ztJ9^l=u_fYTlAnK(P~?C$oo7@DBR}2avQSr6>8#*?KGv#y8Tr0C_UoEMPz6Th0Gvf znRzifLim?m6o4uGDZX0Q5Pa6@(?7-ZKIsr}yKMa(Dret{FV&*t~0f-YiSgcPB@LLUgDf#Y6W-x~6;NN2ceO zK)Wz3>BWa_$xiC3DdzaKv)=VmS}v?HxtjW)#z}XJH@eN9q9~Z4F{oMxGXh|bFX&VE zjxT;t-vvQw)Savp!IT`52*Ahz_Y2%;JgOIaqdScimBF!R-x^eo(09Z?4TuXp;D)W)y; zcrE{!fEJ&1tnH+S3>B~4LckG{1Sd7RQ#^l)NBp3?_o5|>Of;CdUl1V+X#*f2N{>n{ ztnMO@HtF4$*}NORefbu~#YjU4VDorkEWpa0I{#1qUc!^!v+!zD_#KKDC#?|S*|rF` zdvv(4>Wsy$xgvSAOVb_6s%GdYF_=(_guj{=nHmoxsT&tbP#@4ZN&1U73Q2hX;*G%Q zBC;)`o=@iLcdHiuBl{mM!iO_ny1U|seZlm)8?NvdOBWqjAz-fY!- zov!#Q0|WwZQ;ysz0HAb@jBMkgdv(3I{J+0u^a-8(p`1>A*t6xgmj~H`U*A7}7 z!=SD}9M){S(We6bqR!G24b~#eY(Z-lbzLiknPXrt=oCHMII(h@HOqAL%;?r_o;FOT z1{!^Fd_ut9wR}OE$DsKJk}K)vz;fX$|FBu_61Pq6nyF)45h5I0)Ey#jWn-KSh zpg&_7BBry0^8Dq7qqhL{^1#Xh#jPX6XtPjrDEI2x@tJ1#|^Qk zhSpC_9$G-x@d1}0ZU+|Ui8>lX+@c;QWzVc>nei4ofsl4CpjCp9sO$M>p?(#Ntgbswaa>wDkm(Xv(ffMI%z!3 z|42{&y~vmJB);@D@c-X0Nds01PJA{GCc=QRor*U$%{wG+lE}}g==k{^4<~%Zs)vE_ z7ZXeI5hRpR?a-fuKyMSzhOPsBLtd@MkrrQf_Uc=V*uQ6PZstKO5|=d5oyYJ=!uoxz zY2tXgzYT^gcg4!FH3k17!MpGGQ>JG1BmJ0+?tG6HLK2D~LlC39jWT}0kc7It+}acK z%A#d_p~3T@k}`DXO3Jq?*Dyk;$=QH&bRyyRnZ_aQeR}Tc&z78DmIG40^MC)81of}E zM4D}F*ss?r*mk_Y5;Pq95{EsuCnuBfk^eW?NQEMV|5XwE2C1igdyds-dt35&Sm#Tu z;R%+T0nW}DRx@#G<)1)U9H1Og?>U8j%5%r?y2$rb<5%M!`N^mclIPT6RU7Z+1>VhG z569|ff?gh9LDo%~pj9t#l)Ww&Oi%tkjTP?y;WHw6uu()Km77AgL!av*a>}!j`&ypl z)1Ni#!tBnsc;);2@UOo3!fJeY&4Ed% z);`i0gM#nTVPHC6w)n9hQTnvO+6#4LO%*vY>7)}zaY=y@mRJzEzj*lufoQr>NxU#b zC%ou1vWFq)(radQ)mc5=G(ln1niR|Rt@kBQ3I76(taaDVorB!0afm5l=eQHN{&|ey zM}=ifOp03Y{99T=XV`rK=-rYT^}*n7Vez#KBR+;KOrPpy(vxeh)zZ-cEcD}L?WIOv z-v>i;E?c)(W#-@7U2+6Y6fNi0h{EF|mjyJrZ%6`Z>hW4iE|c}p(Kv$UjE3mMdVaGLw?Jempi?G=OuGd< zJr9H5BDLl7=3aAN2R^%ig(P95#ALI;q=E!FpIpyQHI*Zi@vq5VX_2;9j~-)Y*dsQa zJIKYIKG8BFBR=%@;Eqbxj*80oxj)b9o~jJcGQ@|nJo{F-ApV?Cj|`(q4MDIR8o5${ zO7C8v1udgQY+4wH?_}L$h}$B3edQ6t&Ht95;2{tH0Ek;u>+iwQ)c9WMbIm{U^5v1G z$RD&(urqOQy%2h?6Y?z=iF5xa4l8%SV>Dy^b$T#YE{(l|-Uy5k$F7)NSH`v@ZOw_U z>4KUGq(<#Zm4fjZ(?a&RLUM!8PJCwus{BC>a{TmV)UNE7;|0y(Wu03co6efrBj+Ik zHf(3IC7a^uQZGmEbS;@339=)1<=W;(AAFhaY03kUNd{5_r`@TEZBP+c8$27{JVg~^ z9gaSV_8_}yOYby~EWw%$8ElaH>V@7ieMCQY)1sL3`5aRbt8E>|;VcLG9l5$W$|E|E zx~0v4-rF{`{VpUJ(G+zep9?nK*Lgl!otdnT;LEu)=Pw_=DZioOww!E?dv8&|5%6_^ z70LO1OaC6lrzgL_=<867ihSbhLy{S@KN^lAV}!GvEw<9(-{r~9CDOK|2hlW%t@>Y` z1%A!O&F_ed#uME!LB#%XZYJ=Le%_s%Q_m=1TewNKR!b@R3&T3JYVp#zf~ah%t8Xv& zZ`kK?@n$nes@9V+EE|BB_T{L*$YcVO@ z#22%DwY|~)44Ffqt&_jCv52cGs!pp?H9;>R-QVSlSy5fhY$aLA@KEOY=FqwaTW;jM zn!v`1-Zvq+@&&_W%yb%bSB@$w%h5`U2DmCi`x5~II-zgo~K-m zZ~fyrK+YMs5vFADg4e)|w+s#7tT32PI5V=oRYgoa?2#*3o$fRJJ4F4c_FPmA55r=} z0Es_nZ3&nk}pN!3dhen(4tg&!2Zm>V7&nU!J?2 zR8zjt3zE$fL?Cbj_tclVKqu;pznl;QZyrhHi4q4V*`=bVzZ9WCXZFdsO^CYOf+0p? zQpZL$cp=+i#vpvW?9ZU+Vax){N-o;o@@{zNY@+kztFIwWfkxxX?3?g=*97A|Ye7JO zX!wW?nIBc0FU1X1&Y2l+tCH+SasIb!>!DxF8r|Yoa|&TgQafg`+WGV;_HXHLegd)6s!mu})#!|i_JoX`X(^l z?YU=MxS(=#D z7d!zVoS&h#kUm1o6RekP7mXe^{1ZS{aeMt9b%lb{mThBQIw@ONMkFlSc7CjO*JU!=F-z%TFBfpPJBbfKX8LVbT^D zGHUh%4B3ECqgOw<(C;qf>(cFMTGJil@`Hw%5%pBlYJv`#{>K*Te{RVc5x2+~^Z}Co z-$x(&b+=~Hlsj)*-$geF_Q@Dbv)L+I!o2f4_J>Hy>RM|)ed=L#5N2`PWRlONHxorX z3lM~yHeVlJFV^4P5%kd!+Z1_!NO!!P)m$VV!buiVK&W6$m_@o5+OS6zY^8YZs77@u zB!{2ZVnpjm3IYzVI9r~^NIhd!j1GajNT1g~p^~E~(9y+=XiO$sJe36D6XHGDZ zj+eC|Z}FnwX57$x2iQE;xiOc9N9!@f&_E7{hE7W!XudJ^3CEC;sn`~`clqKZp>|S{ z$)~*7JW7M^UgKa#pWR9x4(^&*jI6KcyM5c4`QF}VUqIC6r}Cc~)2p8aH+}xMH`Z6| zSidNKgjr-hR*`CarC1`HMy`F4YQ~Qe#+%?1Pw9WX%|TdR88+E78Tqn19MJM8QX)l~ zRBrW7*ZZ}5in+M}BR7svmw%Pa*0x;=G&Zo>0%xeruvz_&Gu)vU{l(W8Ut9Y;uF(0l z+xHM^CI-TqW0;RJR1-Y~ojH_O<+6#+-o^ro`x2#G&ptzOu638o*V(S_?%j%88M}@( zUJs})R+LW>l(rE*km8+WH6yC|ALG?Ppy6u^X!naG|2%`xQ|#yld7aYo`w^;RCie?C zb=%#?g%NO0Z2w2HVFk@Om>a)W+;y*p=u7Oh_;aFQ78sAL*QeSicG-6bMlOW!5X@1VJ$ zdJHp9R`F}ZuB)TXa*gH~(aStBV~Mb;u(WT+Eo`YhPlbUhO3(Xyh}b9dsz1*n=mDw- zY|3)4s+@TJ!?phH37RH~w?G5&9@yR-3xlAX45XlP#t9H);NmO#0kwtNqAi(%^N3B- zZ%-(+c4WC$24c+RZi5@3=s;i4T_|pg1~16LD^EYK=`bvXv+s7zH(n;7>k?(uKdhrU zag#l;4bxpMZRjdY!@Tc%rq)Tum9o#GlDgukWNUvdA(L zJ$O#sGW$UkuSuL>-BL<7FUllsGL|T&dEzbENxxiNOI}j=!6F{YV4w+n=%9NT-z?0S z2C8HH=fFSwMp^Delb+=?gKx1)jIW`jeXG>G`Pv(hXCyV_wR599c}!);FP2`}+TjAy zYHOHVxWqWKJEngy-Kvq6#bdC3r&!;nbzGi|CHKdt@A^+7uUk$Tho{ocm|=6w4@2}}PMyPhv zH3(%?H`uk?ngK}51L(UlR6Wwymj;(n(Qiur%Bg>G{S34+jik%J#`jx^tc&cXc9fYs zqH&YbNF20T=IOZnYjS*ZZ78-pq4E#QUgdWsuhu)teB~wF{^jB$S}w~lY7={CF2}VQ z|4XJRc)*!etQkbERZH=dbrk(r;#vb+1q1fv^V(~D!)70R$J=5@Pt;*szoFdk!f}~2F zy*HoRPYLJS9I6aAd%{)Sga)W@&bq2;D%xp=KC?gh&n3$#u_I>oQFYO8{!C{{^q=>} z(LYJr)cLQU16%g>nGsQ0ZXqTyAS=sm@yZOe3M_Z@k>SUhNg>N9GYQA{|Abof#SA2m zn*@pep;%KG-voQ8u1;!86P5nX&1j;>3YKkvv-XX<*%h-1fhMTYi?|mIH1~gmn*IkM zK;s#XB&mh~1gC*f@S~Ap!m?gEhxJ;&;=L?1YF{}v+otPJn8XFR*o+;cNMrY#I6=kW zk>`IAG6LlTS};Aqjq#GQA`OL$+Xg<#wo@p!;~E zgBYpupvpq=hL&=x|#9|0dIPR=9WI|2;vlpd0i5 zB-6CSEA1f{H@WxZ@d_Q<#_o4YH@*{HCL;I$DYBN;c= zqNeH;$C&l%J66MlqpBr{N(%n8mh>smV$rqLrXX^s4rcJa55=v>&z6P~_=X^Yr7A*0 z!eGNvB*910_FSp4>xF$9Z38K#pi)R55kc;sIA8hA8}e8J$8r|fOiKDzIr zjjvIQ84@dtTa3`mTwSWM2!^S=t(T6?rk|34oj8tD0Pcl9Jjlte8!d%RjSO?|xy3wk zN=UDHcCct`cKR5)A!j+1ORMQa@DMj7V;y8>;ZWGP@!*+`Ehj;<(ESf$y&#&Ba*b}! z7cND+JeM4fT~pPrR1(}u>zB_zN*S&UgQt1vt?+P#tEKqLm_n3L!Lgg{NfM1IgNs6d ziPg&#sb2oL`1}AxzL}EiZuCeI7oDEfRvF>^@oeE~K!x#cxp7z1a#9&-0PT+iB;mct z>hG4{X%RLa#PRh>Pk+kj4Ca;w;xk>^7!yW&Zq8YG5e)a0P-noJ=T9;rGO}UyDF2ZK z1eCgb18rN z8bDI6-k+H^p4&vViKa-k0q}DVU|+7GKm~!s!^bE*FG9Lxm3bjBA3LCx07H}`{&xfBQ6Vq>dtxQ^b$j5#~Jk!9=@abfG^2#j{?+XduxMBme%a&Doi zLp!OBiZ~Qd_5s&hC`9%bPq3anT_03>F(Bq^jRKLS(H-mG;va6sqQ6D%{W4=rqFS*E zvwBsC`oHn!Z*rfcn2Ms8n8Tu4H7W%&xz^5#Fi=z^jWFl=*O8;_^bG(?v`~Xz^4Gi|qLKA9k zw3(?Jb7;`=m`v2KUvji=O0BG$y8}-dFRPtm1&i#zkz~z?#A@WZxF^xr?ZTsX@(?uV zg`SE(at&k~_C>Z1@%ARp$a2FMmh41>M~L~CGe0*^?Uuf?_UF5jJSiRrE78$rPQG5PC; z5+eS3KOI~Y$_7nI#?6viX+>&@^Iuz@&6ylVS%>T+XkP{zU4VBuIUL+8|2l0R98nSp zEfzO}s_N|QdB&}PQPE|=YQ*mY8?6Z&HdnSfde%a;N9Csq6>MIwpMu{A8X2O{*q`>? zqkxS50qms^OxM^iN1E{2yZ}5OeYh1Vg2`%xwag?V{*Rr>l4;`x*#H=4T8(#nqMFG}HkjI>_@mfL0ika{(pe&zLSF`6VSRmIv|!Sm#+V&HHJ zt*i9xNf@XqlM4!}z4I+ZPF3<=l$D_5uKJVJEC_6PT;IrKLpyyJ6eRFU9T3*PcQ@tz z9Zo@ff=t(%?nE9iB{QE?HbxlUN@WnvKx{th-l*IDql(P-d&rH0-YfWFfAS}x7{ouL z$#p<_qMPUj3?)VLFsiASln9S;wb(e^Nc}7atY(@8<_fW)a08-L=w}o?rHc2Iv_|M7 zZssw9uJa(CBf3p1satm-kMV}gHYtKir*#RpN!7of_`Mt_~@#Q7aotP4d{xZE=4WTDpYHXE*0yxCB<_;@~GjRpI5>Jdt!X2K?5etM_)} zfK>zo|8{I=<0YihPGw%<<(GCey#xo0c(M0wG$Ga5Ps6ft$f}KuM(iq;-c|HV%!z9y zi;2PM4&uLAykE;lE{`c(E2Lv@*0N{@ZBiZINqiq&xVAD`R#0Fr-IIO{LAJ?j5+QBrhCEbun~;_d z#dSCUf5iscz#|i^6JSznSI=8&-%2>SFWa2^>whCP)%#7z0=AkQ#>cd& zns^NcLyDY15HRTVnnEx=@f6DI{nC;B9J|&N%hHnt*hK(Yg!52ue%cZMhNsFLua5LU z$}?sZRdijPM3-9fOAFKYXZx4lj1wE=9vx3}WxEl^Ylul5Cx;fG8UfsQ?kwO)$-6Ln z|9KLycw*6p3G{|IeG)E4y90VXDG8oyIqBOp;?Ijxc7vXQ3v1y{|GeqckiA0e_7Ofn(B=yQ7%{Vl=eUwo#busx}q0vIY* z9z6&R}nd57=B5U8$zgj5L8cKx8ROcN< z1CPl5x+zj`7Iz0Z45{4O*Tm!ONbR4}7PeD%AU|{mMUul>&VPMiwCfEL7^mCiQX2G| zJcQ5TH{x5E!8{{Nvx(6VP?~_hpC(HnJAsJ6bB;OA{_6L?0wM(T8TcX!yYi0Od*vE% ziICFmK9M8yiI6hyrac(7V^&SASxgrl_BROwS|W2(s%$tFS9cxu6GJ{X^|zNja_U$+ zm+0~Y1vVT6YSBkLOQs7Wh(^5v@Gm_eVbp|(jmHhb>#)D43 zht2SZP;y4B6V&>0Aj=jnFp1i=GHqbw1)#x{jNmfz#q7}Q(e;xx$6QRfkpJzvQ|Fz% zBdByk3$YGy&)C4c$5xPQ3kkT9BN@MGVz3!84dl7fa)+aDM+dKwI(elm2cz_y2z@^s%lNyYdVkp-9LPhAo6be3QS8na_2xq zw|!dJN92eqP)5X|l6^L8=ocOw%;4+d{aHN8I@xoJ#kR|kBL7{pVeJNznkGA4*P9gY zF6xqpmV0Yo+DBQER*s5(v}DZYr%PnHOf6lBzInF7!x1gL2{*=M_cYdf?#i7=`{%^# znbB3Dw(K&)jQ4hGjk(U9gUrxzQ;f*k(o=_cx3_|3g8xx5o}o}Vug`;??L&^xT(`yP zD7*FF+wrcoH^!}Ks#SVZa$%}0?ob&Xwyubgj>ARXVicDUvXsXh^gC}jo+VIlC3C8@X++u|K??F18 z2r}~Dcc#6I9R1ON{>((*I$Bi!yp7E|OT21~9j6Q*)m4^9>oxE-J36L5kIjK z0n*pMFmZio*V${x54GmkkkQ<{Uu7EKH3*Q(;v&wAB_5CxbmPmuY92_c5Fo80MV^un zqoqZ-h!n1sgr1=gcQo)XQiwEDNcswi&`bFWnWz_4$VdF65TPcN_7y68z3F^`Nm%vH z`<2nPz8bUIkvEmDx@R+d{sAEGTsZ8x_ciOs`i3>lg9oYc$+G%}p--ou>!}spLB%5G z?eoo`fd%78_(k0%hd{(ye`V2p!a7fg<_O=BL+s6$FfA5LuGEmuRAZ!ZmB0+c*qbcKmOiZqp zIyHo)Eu(3&BwI@`O1Ba9_B*7>><`wGJ$fnv%+m~q@4Hh)a@d}A@%kG2u19QU{1Z>$ z#3E{3Z5iZRl7(Wpyg6j1q}NMzU+{;xDj}|TSL01@PRA`Q^1~QJ34&~RsnJY42f5td zew0kI=uXm@(87tBAt>(YvWd**S2l~w$T|>k_s8VuqE+XN_vX|>Oge@Ju`w`{-j>? zmp?tp*U<4k=|MXV1bg~{PbxY$c|N!YhubEpkIi3BdNo(_s-4gX>Z|6#g6}gZlDRJ> zW3TJs(W}e5G_;WxQ^Sg5ZRe$ADZlJ6rfJo8tHl@HOF?|#aa|@DPp8e{+bqbZJ)mns zJch2D%G>r|W3AY9?Q^Qr$Y*Wl9C&BmysBC#U$2a-8in_q9a&YnEc(^`6r{kL?g&w; z$H(^3&&|qKpX|!+i&$1&$6^v;u(qx>*U>bqLZjBL6&nf(qZzjX*LOew1oH~tUL;CAhqa{ z#rvX!%)EuBaHSr)s(Cy;-wDO9#LdREMXwvuwyQdd%-R7>}=|^9IfZgVClv-$8 z&+Bl^j<5C2y(v3}c_u~N<$L$r?P%p!pyWk0)KcF+z;|UbKgb86p^1fsr)xX(TZYO* z2u&g0%KcLkknugm=h;fso&2mP*H-a+ic82L0NY)^+QLI-iWbaF#daLowBjea z@J_c#1y7qcJ-^Ndppy{SW58is&$BE+;=Ic_cbYW4r|nyn*>T%NE%0n3Y|~F$Np?U8 zFK`+AAzbeGVIvx_Os}@9QB{cdwb*m5#)RSB{r*2A*}hRcfYfRIvh7sMadUCOx*Q-5 zF^+Dj@RXfj#C++L+b6o3N5y4x?q>C*ZmL|xcVy&bP)k?be$HJys+-4jJ{wo7QfkrN zDBmW1p|pPQcDlhF6M&ZUl+?w%`kDXQp3=KopyJnJTI=&=6t1HYnr0cO3su(|h2 zOkbnywtf$4`4o1uuh%G4U2g`borEDKw28OLgbfA@n4fEXb@yo1<`x{^A#6BuvFfHc zzvz*-xOP3UJzv27+}A8#a(45wAJ^L5wnNdwm#E@qVe+Wd6br$CO=d^cNqFt8zGLcd zAvAQr}d_S`4(~Ll1HYtm7={f4JSE=YSSOhdoZ9B6qa>KVrJClVH zR5j)2McX@g65G0-xAkQDSqay@_xiOM`i) zj7Vc3%48mpuB3Bfuq6e(dXeBs%<`n3G%cpCA_}!iuIItogX-4pL94}*=n{j$2!)v; zd@tL_BHuItceRN@rpNt6KXw)N;K|!?w*9f)qR80dZj1y-;W=eg3(>!Or2dNuziMcK zsCQY?cP3E#A=qh zoJC7(dhUzmsgHKyrzz_l?dko>=;^8RrqifdAKQqQuw@luc0K|^h9k!~e)#^)MKtmH zm7rS|v_j;`fH5(3m>Bu*Dan3pf1^!> z?Sn6yv7#-d7Wd^>=!)6rFz_?;1$S0BqZVbEiv1^phEp=H;kNtJ@8V|ny_U}F`HR;a z?QCA%r-p@AT%9qzAP%*K7+eokJcmq-nqs-X-WwJjY2xPMRY^1qrE>Dy9ldyQuZF1L z&RQ&+NO5o(*CVmVdDK5X)-DUSYXov=d&J7}<_UT!B-sz=tw-uQtx=V?#AbSR4(`#b zQ>)4vamVE1bDf>0b53FB2jL|yzlj`(fW<{RJ9)Ti=t?3m1^1hBmDkf=D>?$?rsXPV z#*wTvS{a?X+lc#nM-I-z+3zq4hUPcFNPma=4wA%wLvw?V69iY8Cw9ac zEco0?36FrNuuhuay=P#6koS)#&U5SXDq#InprSlr*S>iSpAj#COA2A3(Io20hf>1P znWDq3CyEpf7|bBa|Bc2z_=i)B4f9d_yrw>wmaI`2jVuZQ6MBmwLBXifKzx9j>vsZY z|98ftr}E{8^nTsvzXlw-H4?Z?%vI}ZBXDijS5IqO=2g5YI-Dq;E6l;@qh;4WbYp_o z9roS3J~0_qB*`%TNif@eTY z5gmwQsmonu3eYHhY4*XQ%3;+ezk#JlB!d1>o6|`%3!IP7&Am$}xySX8 z*GNZ+@b6f$gIw*mQYR6&?&FTxhxeY?uP%K(4fZ@ger@<>@ylvJ_vGgIv_Pkx(K7$u zc_fXN(fdE22+8azA{9FbN!b{x&99O#W8l<@)y;_(MOV3cp3r1i6(xkH{$gWn>1eP? zol*i&k#nVTqf4-p*l4{*J!_V0ef^pm{H(h54$9ldBOg=v*%0f}5*#zvC$w+}6!FvZ zDk&Z+Zfk1BN96yldMW8C%D>*Ua+Fp-SFhu!aF2F>Co8M_Q(aekc%at?EugX+1=`1- z`#|J?rqE`w^R`>IR~>mzb{SiAy1DJ#V~~)U#MeTL>;{zYX{1H8z{!7K|2H!NqyVZ5 z1zqkV-T?UwwI5f({8MCG|7olq*7>Wf*1h!~&KtDDcMJGx@?LrI43Ghll z%h(IhFzi}29*f!ICTqy&E?9o}?(J3cKewUzj}#sb*9*jLsp)r4UQtY}x-8}$84ct_ zW*6RWGW9KW)RJqFAz~1-Yl#a_=S;Sc{1!2i6MroaDDjZcPCd9V|N|v z(9z7Mz|^C$vv~OlW&Ffc9aUe59sZjTABhvnv&Ae)jx6Vs^t}=F>VpXuL`D6>oRfE& zW7ogbcltA$?Jfd`*#30~AGv2uw4+z)}iT1X-=<+0%{Wbk{&vRTV zPF@c@pHv(|J(O2Tpu^iAvk}6i0z2adAO_4A?VFfw3lr zen<7|k5r?xg7Yb(&IGe3Swr7|vRC|e3;jlTMR`V9KW{JCm(@S<_Et#<%sG;B%tJi; zy%b&gI5%?ky*=#PRr)B)%?EtB8Z&_K0*-$(eo`HKXh`cgClqHeRS9lV*hwpFMt)kp z=xm`;HVbF`xT3ZI(hErvWI3{}s?>)+BBOsqrf#^_!;x*(p}CVSI_6&$jC?7P7+_s- zI`+2}eDQBKRT}u?H1fnNH&zypklfEq^nCH_{#&vUX*xIWIS89n{h&AqTLJ8OQye^% z7UqoBxCx!yW;7ZitB@zTT=VRDW!(iJ>pxfyQhQ4uFGM7hOrY__T4*P~vKskf*YU?{ zg3N4i&wQ%g5E<}7){5k_USnrB`W7kwjAlB%Np1`rg7e{>iO5=p=xRC756Oj%- zjFT%oiEsV3uKyRs;5}|G@44o0TSq$3H;XS^KFHH1ESBI|{U(rOry%sEt>qkXXr|tL z`45{L*aBGqZ#lR6GPQwWVX<%DV5oA_*opIEK#;>0x@FvBz5Uhi9`JsLxZ0ve^lotKQqcN@j) zzjmz7!#mgMxj&X>c8=W$PpI8_PqjoApu~Z!ROxKR~aa^z?$DlR|%{3&fvv8&^c(w6LV^e09$Y z#i3Hq`3`Iiv_h;iA1@FrUY8M7#G48-g>n=&Z;7G7+Fm!IDbzKcxg0JT^+T@q@~yJ6 z%Ueemi%u6%J4198MSG7n4E`rpoinG3uci)`j>)Yj=u4Lmw$nx_;bKzs6|w6}x$B#+ zK@Xi8ReQ&A1eW?!xqA3}3m12*+&<|{Gjbj-L?F*Pwya^hA~XB}v@;oQNmbLE(#ySF zcYk~zbGu{ZMroiHBcgZr`BIMmM`#mu# z3`KSE{81!$GthX<z^^t&V<;fwe96$AWH^UxQ!<&69HytPjHJ)AD$aat~< zb?(Xp;ae&GO?AN-3NdR7MZY%X%7oRL_T>6P_}Ii==6WC#j*o4=P_K(y2 z{Im zXX(q(6O)hn?CN-0n-0GFAVO4aZ0PC0_3j<*Hru)p|5?so;y=F@uDU{aM*tR_$5nS3 zZv2}ixF+sezfPL~dvlyPbLj`T@45EFwLCZBkQ?u7z#u+2M#PZ zKWQ%79kE{r$6r0`dtF*g4*GnY^j?JqBUlpz?-1y{@XerT*9NZRx$u7O$q)fd);NVs=W1#1g;4D)QpDSLn6p2#Nd4l7R+O#29t@+2X@?q zkvtx$`G0A1pBO@Lx3W^c1j~tUf+bn@JEg!)7b=EdJ5fYNzyE%wjJWc@Jb3&wA7I%J z5`Q}~Rs%ZhGgH~!jlQ?oL^#W~I`Amsyag+A-fAr;fK7fx{5&iI-mYpu3V7$;0$=}1 z9IX`)^+m+qFCJ@U-(f!TIDTLVcA(%TN2mr6)gHz2KZFZ}L$LF7?#LFl zYZ7Ux+g0B#HH{3KiSqa{j)SyZ#%4QqfA5A@((D;yOWyETJojkUM2?H$XKmZgwQi|` zGoM5IP=91Yn`aIVQ;W^8mtP?CgYesArozuDmV-sCho;Mpi|`kgv-JDV2(O)6ZI`gp zF8WLKw)G!~rElYs3&?==L{}nF>?ZzF*0S@3`xJOHS59y|x7rzbY_|f+fuw7zc>YiZ zjIg?0Jknh!|p+8`Q;vLGiZU&HTRm=4Lwx6E=Qv)I z5~#nO1;%~XG4Lj0l4cG|8mA_!eh9QwZ*HZefv6p{4b6FX&7)hfp*u4mn$?Pbs=hBU z2^eqRR-cT?W;}-it$%jWH{4aq)(s!}qfN2eN%)w}m=0vW{!FV_=t=x&R(!s2)rQ6X zxGvv|(^}s6jM*(>Q#P;Petz+E--Lb1DD7nGdaPMlW4?YCIq{Ej^&gDYzI6YP<3as< zx^@52%$a@&8;y)p5>Vy+LeyhMP!Ei}Q-8^zhZj@Pow#Uht^F|6neMp`?(R$nT8R&j z5Rm3EvLwC9dR=dH78mvWwEI$@oWseVa1Kwu`}}*k((B6Yk6W{$Z{&!^)aPxZA%bN> zGhcf^%+NFO<2WoCUXhwNcQf(8K^Vc4hr;-m@ZWrc1GD2ir#scBVuGzqa zNPgW;dT|U+`b>1DY$C#$F9l}}JNWQ#ld7<=l;scJ+9ocR6-jkb$!~nab1^1e0y~B

D3av9fO8PeNrIvNI=d0doAGls(lLT zELP1t{S_=rKFw#?#5>D>Al1i=S%{v)+S#AiL^=OUBzVTW$wTJ}NNdO1hb~*bf|NmL zcr<&pF|m`T^QvJe_H(KKBECrUKS`KwCz@%S|4c2a^d^ayeVM&)x9OG5!iU%t)0DEyhJNxQc zXc8V%FZL+*gzD)8;shRa-OQmJ9|&jtov;Ypw%zwShtjZbZaYsRrL%_I{82t7h3U_^ zRL#H?EZ9SVTV}qryZ{D|p)SX#ejIXqtT)^G-*?yK%YbEuJyYhB@J<#i&?os-&L^{3 zFvsB;PJ_|l-i-Q9v)aCdhI?tuUa5FoeMZ+HJ} z!}>d3Yau>Ir*mR>HdJxh+t|<^M8@r6%JY+=nG!+x1Ee=5-~-j1Y8|iLM5KQ{F2PZA zUv`c)bRzLK$N}T4`X9F+vf{25t{|gN$E}9!HbNM7*mJ)}XHTn)`3SSJ@*OAfUs!rO zZT5ncLkzuc{>+4>bRC;?HyDe3vKSd1V168z7? zS6AcD>zDW0A7}xY!hmol?{DUxj%U?zY><5aG&-96jJ1OBq`32|bYN_T7$u`@fL@=u zw3_9WWrV;TTiMQ9>)Uw9LV9<Ni{IWNjjq)XZYW z=X7(&jIP!6Fw~x4t=bje?zzN9JDKktF>mlyBM9OpoWlIh-@{tOy~)lfWqsR6_mh&A zL!52;X9K;jd9*`>_XAH!y#)DRUhzJ*@r8VQ%warD`%LymyX-4gdK7MTCezV>%4MxI zncEd(u=;x#co1^=Q7(iKefeioXAZi>W`rY4=HZEuQBpE1zPS>EVRxj9yf}HgaSaIZ zui5DF3Cb_qrJLQ&h`sat;U6Epw*tyv)|wVx%Xh55V%F(jrEM7I7+oFLxeUGk96~7b zn5P_mqPV6ld&k}S*P`R&p9ggC$87c%%YyEIUZGJZ2s=*oo?`Np!N8-Nw9(1 zotDzSyMN;bCU5qW=Gvwn2&D-lT|`$B@)*K-HI$*^d`;eR0(WX})DdX|g50-=mZ(U@{{*~rnp z?%0Hv67*kTzbQuKvn&xTWqWq>=Z*o`#LxKstY*WJ_hOIt1TdXGzIHa4$0N7CIPTca zpz?e5t~D;${FW^;oJt}3o!M#rXc|I}YQdJxUnwBXFCJ*^+6{<3oD@2#56VAN4gcu< zN&xwRC0!3Q@PrtA;vnldc7|dT-ADd$NAMRNQT!Z6cl=V14>dPlTqy5f-Xhyrk_tOS zPc5)`JY1a4)7s~IM3E2Y0D;S*IAa&n&vOWB;-pgVnDcjb8LZAla&CQr<-G?C*FR5= z@yjk+qe96&{D*G4@mmgW6c)GPU${$+Q(gI)9c8wD)R;cu`Bp{l)r7cl_OFH_{gVh^ zF&`NV;qv+_GI9WwQLR-=0~7te*n8 z;YX{c)?*qO18roIKF1&j;fr;5LWyh<1e?Dfr5;r(w7KJ{sy4@tvm_DFuv(*#aetgU z*?=wbxM{=M)Tw!nt)|-gq7cmA^Aw#^RB_2h&hNAhhCpUJk;l(n=#K`e2PBsLzo3?3qF8Pf<6BmuP)9Kr$sXEQWBjcU?1wU<>#bjyPy~%5_vvOn1%L4ATu60=Q(~ixelbRkI{&M5>)qFSKr1-t zbIRdx_;q07W5LPcfH3FJ&D>w#_C$W`3&{LI{QWcV$MZXQn~<2;%i`Pj?Hv z+h9uew)oClJ*ZXavn*M7Zx?L4*U_cQbl95AL(j=_2Z&)fZpW}p%t zIqQ}@K$Bm~`0_;LGir-@CFf0aH0*=lNQm0y=H5CfC0Lgs9~!aIoi_DP1cgLgf#TTa z<0+copC=z3g;lL?#7^1oNQEhW!md-G=b?5Izn#%r-`x`Np0CTmuf1{Z3l#9F8{MDZ ztWSen3ddxPhH_{hQuWmea7dm-W|BTc2D^#z^cKxGJYapUa3VZoCeigPl9$$BZEdIT z+lre{%WCh?kz72@S)ygX*v@t=I5=9SKrjxt?dAWDK=x}q4fS*4I7ZZ71-n0!A)zhF z^oYD}xv~8x;FeD7z1`C!nW;auc?wP6s)!>GG5dNgG9Pu$r3;eu-Y^gS5yuj7zQ@Y6u+0x{P| z|DF$>Rmo|$1S^lXE0-b%zvzLI7u`R97e79Kb1})tQYH*6+P~=_O|E1AOIsHh5F)tq zL26sqyl|8^#_Zts0mbMiL5C6RZw!m+dLK{g!}PCiukE5i2nIHPKJ~cXtL+7}{1Gxi z5%Lx=l-@(Y|89;aPmN7$4UtE235yI;oADm-!}@Mve)<+l@QpQ!;V2SvZa)`{AM!h^vTlC4{aZBpHx`q}e(%W99_8JN{}5HQ{Apv=CYWrL z_#3UhUHXNO@F*VM_*y3E-LtpM23|+j6P|UkmVn1CmeH;HtK7s_JH5V9UGLadFCOML zpV9!JyrRO32+D0~Ur9<<&5E`jhiJvk)zw3K+e5mj@5#R3TC)tTsFrONi!xY0vbaP| z#gM*IC05^_e^<_Q8iZj|km*tNJRrnsU6A>sFKbkYl~#pM*`AR(@Mr(Lf}#0i9k-UA z39*#ibR4^tx6-spYK@v=_xs#tWb}1xJk-+C+ccJ{Dz9&;rPS8a6M)JWq#}Bg{)}8Lo2=iuzx$x5!XQDOg%8(15LQ_{%xLvSuX?3L`+4 zc^yTTWHlDMB2j`)DKJ+oGEl!LoqkC`klwX^uGI>QxY{AuuO4(McoRDiMon6g$NH9& zU$}#bQ~&AA$>Menx#tHEa4(0^scn(AcJ{qqVdRN2M5kG3z3qp*REOuDwfI7W%sE5U z$`?k*v6gFaB<7DfHOWT#kn=Ejc3{`|A z{MndlSYEsShxpIep4jc5^RxOB#eLfK(WrQ=Cj}TYAb5dTEg6dHSq^wD*nSZubS7E1DPu*+gU+h>u z8DDWb_n2`JGAx==Tt6T0?x?<8Yy2;mDIYvQ;2|A8$D&^q%H-Q{g{$EWsuqIRA*;So zFSf~4QNVrAcHdw+>Rx`D^%g4G{-C%0;k&X>iB*W$Jc-UZHsJi3F$&H#{oIxW@0;ge z7zb7s4cEfks-PXuFTr&}9i`>UR}tdg!$s3IHU)RKh-9Zh;=8)^f3|2ls??sf>HqlO z(l)C-1JfGRo?A(@R*^$|C3l*v<-^5YWt(vwrw--&Uu;957jozS40-(>DZGBJk@G>gzto{ z|8PxkIi>G0;p{WBr_&;p?oFF8dI}fq?tn>?KJ9cHtzRX7qIM-j_$S_Lffg;7m3YL& z_IJTlnAA^tV4&i+dzSQV7FEkhfxZKLLH`cA>+Zb4vb_BHH3f>d*F*ud{F4|DaT5GP z%lFP`vZPP{7#P;?+FCTm7EyXNHz|@4EDh-mt0^zK!w-7cB|Dd7so#_8gXa>2eyoak zKC9{bIMzY9=;H;0J8G_BE^(l=p0T`Az&@^Vu=Xm*D=<%cx9s^+k_Bz{Z9gfp#lMVO zh=(sQ=XRa7=Sb`g$Sn6N9>&296u_ zbu&0!(%`EeQkIVk4AKpUr(G+^?4rIr9JQ}{{eUH>|k>A73u%enT9$q{Sevc^x2l5tKI&4ey}^|%oE-&g#od3SJ?F1 zo$THIdTIqJ^abT|A3mp*S5CD6&!*gXVndwX37cLmzi0&wQ|$2muuPj--X`^Sj(mKa z(?)sRg@zpKPAq3UC=?i0&$H+VuGMp++!W`1w8e)QWH?M}+2Yr}H%R%>Wqf|`{@+<& zIIg!%Ndfdf{hP@8d6qV1uC<&a`@+IxB?`8-xyx4T{T%zbu_}EEg;Drm7KtUv`CQTF}~~q{L=? ze+T{OugFKa+?ct7u*5)5^Ha_TNarUuGa+<{r+oDiUAgmH_1k$P9;aV^-m{_bV_QV8 zoUPmCUtW#W4#S$A&YL-%hfW2P567Uhp;q~7ug6($O~F&1kACtMCk6DW5XT zI{oCwB_pnQqA00h&&;lRIuU!kTdCk}{%@|8@~ywoiWm8$6#T;kd32vz8L)s!sV<>&@L0($5Cu8rqY){lUYFm zOrioV-3i;zYpbc2+Sp$?HU_&2>D`a2iK1H~174hV5C(-Zxl>3C)e8x)J8wmqk zz8rH|yMArKAYOM9NBfKQ&18eZF!FRxRpaI|Tx0KBaFug=Ll{3X28-`dHWB?EV(-{f zPiR>jY%9hL$(P8e?a1D{#y^GG7G)(nC~SQtU$JnWjUjv4TwhFMZcGwB@9ND2gc}zW_3io84=3*2J1+>>3`gy;Te3IV`3Q2T%Q+4ZWCx?Y z_6@eiv&<$1+4ZaK`mnziTp+^-lgt*%r+O$HDP8wyepZ`e?(GXUSM%fWAnK})CR%d* zHGaF(R^+OQTvvAdt5;px7hK!4BX9DHdnM0w=hj5FA8KH|yFO{_(Oi_jxk9t_io&<; z?0fOahj;26$DAn} zLKWK~IxL}|WoU&WQMHT+V@Hh-3vrdAySPIWgh%SdYKOU?z=?u|ah2eKAyGdjDOOT1 zC4)IYxDMBIR8c@e^2?3iR9orXxNhLt0!YF(+I-a!079pfsJA@2ui73@0-VI3&0vl5ekbjA1ofE7f<{yA!EB!Gf33{sh&$3yi$4;i@}{BNm}pag=spC54DBS)4w@poA(wWYA)4Wgh$lp=ic2=OS%> z0;#}N5so@oZ83(#64{Y^{M=?)Y`g#-Ty9v${IRGld)!1Pm?NVonS$WOmg#U9u?Cxyz91Ne7KyAks9gbfdxBoaw!331~i5-_H3CXc%<^!N85?z zNStJa1yOnv*zy<_DefoW4&e?!0u=)1pn(x)qrZX{CVWCE+>+{^nrB1V@}xu{-j1|9 zREWWDH-{dV2LdxT^NMW$1vS4ZU05nN{;cZxootMJc{vdUXn6mW; zKLIH;x+YlxT>r}G5iJ{`0!eIZ7y(>S1gFBeO2gq&EHjPwX~2LDgo!EZf(b>kK%ruf zo0po!a$_Tm;X6`mlziNIHITMvnq;76pn)NcO9dR5$1=dsqy__OsiN>9SBbJJR6}sT zVzA0FreE6P93^gGMEY(@@>XPDL3cDz@|A4jVO>e;h|sH;vap9@+#iUGIwGe)JEo)y zSQ0c2ATW8yNitVc(9I1H2D~sOb}obEqjh|iJ~pOy1RcC+kaw?6-A(>cf}M?Nfbz1+ zbLAUJ@RaJ6W4ppGM>Ie?Id`Dj;r_2lJQj{tp>$4(s0$Gdj5wQA`LM>+;oO#vaYB}( z>k5aco4L#XO?_Gx5+_x`CTA5LY&w`?WMgW0npR#9e@(?~OwWkP^~v_7_u(6^I303v zN|uf%WhyrM@-8X#Gm$f8(>(x!IjqW z^~oS`yi;O5;LkUO0F@c1#75Bj^=gE2n|Qf2JUisJb+rEz@8->UVpijrTw3An(;76x zNLqQ9zqb#q*0@#R5d;$%4er!^)q)6b*=_Nx-3J;{I@#v_1J| z40Q|j8B+s6D|+Vm|cGsPj?Yig*gs z-Gn!|dK?$C1DhBbPEU;#4KAz#Q?mH%s0OUz>28HNoE_RtqQYW_v6_HAJcy$}slmTu zN6E@lNVr|@*cte>mXu!}eefd=cdwOhQaDXWI@)lHm;=X^u$3O0PR&vbMy_GET*Wny zRW21R{=F*u&rjM$$oIF!MEjXqROTa|x|5hq)p^+xuEgLAt`iY~I^zPaN7}HZFJ-K~#te1FWPL z_NnU74=fN3O;B;lEER52ltw%9lw`WEj$Q@YIQoQG`tdnMS#^AZn&N1IZ_v zuf?H~?r6DZn|5)O2#FSpu-n5@k!2t-X=^cz)FOzfTO%JJQ8g3+QOa|3f~c`KB$B1e zYa|j={m>sQCWM1W&lJ;;pzH=FGMQ5aeSJ0_q|!nNSir#A4bNv|_CtW}8$NDMc9_RX z2Oc9sJXpwt%??^~w1LF!!}owwGh8i+B_0v#Vmsm_^hGrXw}-}LZk3W*0~k?;Fo1>^ z1?xX{*ipo{`1c6&mS-T=xDZOQ^n|G)>;WZJ02y&A7={OZ23G1h&l$VN0C=71Asr|q0Yw0_jMrH422I9fEY*c+Zx009@rDWRNr5sT9NTkA=G9F z$RUI(mDqq2$2@sb#*Ze6yI^6pS!0kEh%1Sb!zJi3g;LlmIfaF+EaT3DTMC_^$Be`0 zisaV`DOAh;IaK*X3)If0NqK{fFCHq~dU9;P^du6y3R8V-gdaxbqH~)?wG^m(Tn%-4a=e1Nx@EGp$UQotBH_x8gmgM5~bqnOScch z(vx@#J}-5D&V=5$^LmK8=RL1af$j0eol((JLx6CJll?C7}wXpy&jP`n$wEt?-+XI<-A|(Iq)fyrtyrsjZP0! zI52`7O8tW&3Pq(KT6)J?oaY``9uQ|$^wnP8>hFO!;R$Vj(SNv6+bGMT-#|S`A2L$( z*A!25s>nuS?_M$kz;U$1Jhn&D6C7SCdq=G5Y#6GYS4Um)jW=)VNjMNko7T}i);~>> zEKI!=HE-!6VcN*RBfvVb(V9`z7_E}tG>X@kM74caW#rH7AgCi!b!N97k*?Bx9XO!n ztM@xB^MFX~{BEldc00lHy6I;`Hlk5-k{SqY5u{{fE6T!Z(ng+@FKmRNs!D^VZLLLY zSKRLmYpgb7_!HH>oOB9fAJm`tuoOVx`@20G$Ner2by?rB`R24mPS-knleltxlx4%n ztt@@xwUXpyV4c4p8;Ip`OO&5EABM1Q~wPmmGIfG2|M z>E_9L+nv9jsAPdQysD@yXcIA>Mwb->BZSeI z^yyHgHkvw&b$}GQ18U%CO#5`~FmJ9Zh*LZswf1G0gZ^ulM*ThD88XA@0S2%kNSH8nv(Bz)>c33pbr0=9gU_;b>NSEI;Gq1*J>QSxT-J}d^ecU zgz#>4j`qEWKg;V8+d`$OlSZ<+SX6^qpI!3qp)Tvng|8>T5Ixa0YQ2>{$~6y@NqP7PCt{+K6*f}D5{WVmjN0)-B1GL| zH<|EYNO%p5gp5Uk^*9}j1~Lt#7R7#7l8CC1%P)V=xDv%G<|QR9CM@RG8c89pxE#wM zpcoZF5iK1#q5^)}%`IKR-Z8~XLh&426N-&Xilza8l)>Qs2ptK@_#rL*hK=g9$xdct zHTf|r4D?GEt>g)jX_g&W9Qmxo!z@uf*n|pCu%1-{mU`84*EsA|a~vuOtXV%8_N(}@ zMZ{?LY$_xd6Y~WXh}V%7W+wf_MQYX6s70jW)j;Z?4Yr7Bbf3grYTKjcLgbDqn#sqW zNjW?8LuL}gWryWLAA#*^!~9So*>(2?E*3i=ix))U^DYQH>gZVnWY+y&4e)sRV_#z$#^g zdczC_NN{TEfN*h@8zkJJIw*41oC(NE?M^_P8lrMwvYGxJc}tKRa1Z_}!lfJJS&L|Z zQuqoBAkmhL2(Vkll(Tf#!NSauB9kOZtV%6T9M@D92nSZiq(G~6KX5aF41r;}5Ff$B zT1atT|KS(~{HNS{zXqJAt)4++>;6?90~FC`f83|&V5cSE8-=Og99o8F586di=z1jw ztR%gf>||#7l0ZyeVjwR}3|TwLOOg)q6Z_xlhI1n(4AhNgMEc42L0i+x9Y^__wv`IQ zgCtQims1bc4sMPAjt}gl5?m0MJ_yVA3slMDIm@1ju}eC4H+&nV*|fKfV6f2vz6h8h zQ*pQMZu}@)@+J1Uo?&*FpmLa>FLcZzWvO-ZO<5pGe}wtPD1GZ$$Bq7nguJi7fS3c8 zR2xX|L(ELr!eKbIaFeiZwn^bXT$7|bqh_q#1a;YJ{wn21z7aECxMeu>QPN*q{e}VN zJa-r$g~nf6ot04n!nkd3YZ^-%+Ic^ElsdfQYiB!J7CV}M5*7p9K~@d6u(eM-KnyyI zN6}G^(k-Tg`x84?s4&`D_PQj8PQfbdSTp!d;s~{A_!HDzsI5&vHbzSFhUICf>9L1x z2TM2#S1hT*{b}>4+rEOms9_{G*ts$x*R?tUze8Ag_nV^X% z`&pg+B-4k1`b`4)>-Z6Iie1(3{rCI4;#`O?3y2FdV*vr3g{)`dTyNn)K0nUGA3IOI zJSgVBZ^@%x1y3)IeI%3_dHFfy;7{5z_FH1!jbiaPhtkT!>YOZYT7gdQD@{1P=Pti+d{~VuaZGBGruUG=bf|__? z3tz}P)*;IG=VNk}ErmKseP2EpxhiB=w7=eFKs7`}P+jcPhuy!g$5Vzs^x4*v>8qwb zhJ2h~zuusq+AkugpV-1kO2c?Bim^~nt-tcS%Z_CvnLe5VL%lRgFcdH&p$h-fA)OYQZoB$=fAdYOW7Ajv!FJ6 zpR-wxZrPdXp8r0W|M)S96(aELSn@Yf=Qn+cr$^xKWUSe4fc&2?<&WqD#gfi_X%2t? z3+>+t7zSCQg`nQ*DUa~+C+j$iQFnG5Zrl9pBJ7*9MUxfZujns-_-S6dRChZ&@8qXL za^+sFl`P%kQB-c!H^uEN$^Bg?my`CFM^BpVoUpL7?tCw`hw*~8bD}nnY@E~XMdkE| z81|{x1D8jc&vBfXE?;Nk4 zxQeXe+U|0vxNC26(lEVKmE+x<&)VTZ#GE&pKu|In zoXVEN229>fSNISk$!&31`S*d5A>^IA9v9B9iHL_Qe5c-jEn4_L5Ap4mMwe;(Tv5JC zeQiRt#Cf{$-bC2>rC~SBCT0ao<#Z-(hWzQZ_|mSo9iuj~X9NR4@A44dWdHjwrhI(U z4My15?LXid$_*wAYPK7`1klNi1|~{HfT);6C>#iou$vZyg>Rd3hvlsws)2=Xx;%vC z^*TfiYY(n8frgcC(1V^HWWtonuE|+8mkOc_cE2`u!tYxcFIp)}wYM2=8xWM3|$-OZzX#|SHAS>K4Ll+ao zFwqnafdLdlThdErG<`-|0A>srxc8b+n$X@a*r@7l(ubk5J;6FyIzpJJ;{|m?ZdQV* zDC0@rRM`^HH;xCNT|$21ljg*L?v8q6qktRORNBlV%X&1b!A3-lchfI`n(Gy>ZkRWj&BS_xt|B=ge=j z1N39@G~cJ^Kp8d6vJteGEUaom+#lki%J-+g#1406lxiaJ(GtdK;&^UyRb-B}jnvq4 zB9|LstpMsO5os44WHpFC(@hNFILeZ0+o(pzRISIwRPN@YW2radVI^+z(vlXMG7m#v z+PN42LwXTh7MnV5aB&SzNHL^&*y!pliV?h4Tho||Jb5xkz`}+Y8@V>ddn6U(sZHz} zK0M+=$F$<5DL#0@LT6^qVZWXRIHZNn3{_a|;Re*K$*zo{C5BEe9_(|ymgi%|PHZsG zH@Xc2>>E8$jHT$F`gMWF(fX+k_2IvdJm_?|BlyX)+*^&zTV*9ZNaA8v*M+Ek4(mDWeA z$WtfvA6|u3znR^6L6QxWh%D=czM!=NXkK4Ce_A^dZ*58{>m{1_K z0x}IlO!43xI)`CcR3sLGn*nKT*mxusaSZGTl*G>rycBkUbZ?MlM!=F|#sdUIjdAdB zX#AoBi(x1XBYtg)q{4Y7CG?sbyT%{@<{QJCmaM^(&BJczl=vQVJ`Oyv|2BUcCo%PT zEtWqGd%X(Ydk(}*#SP097~n7hzGsU}RU^$c?T`hyUhxbG+cq2`F9`yc+T^=Fh(=?y zMvpPSLCb{);>Gw~ZF`a)>N*JuQA$%&ba5#byhur!^?J}b|8DP@jrQS?h#3(Fwx0ZU z4s(^(Uw+bd@P6>M9@c*Fdtk2L;W7e;`ph4)lj_D?3sVal`V@<=0%2+iL(PK@+k4IY-ChC4w z!;D55&4oR+Eb8cp$N|8WQY4p11}PXn9`3T|U`xu88yWVqXJCP3$Vm&okcvIZrobD{# zaQHs$_z)TM?2<0KU?M=hO;$V7_%2s10;n~iHguG^%we#3n!%2zDnUw~Yns7{rYK>2 zym_k2WyoXdV!SNJ$_veooX7-NC|ju)c9`N0be;tt*M{Fuj75hg8Xmt#Ve}J*;kZT} zRH)b!fbcCt4jMd&s8QjZ0}cW-`*rptsi(SShutO|IHVR(Qxz zwh=EOqzE2n$iQ$Cx7e*2^8*|ZB`o}BBPI{WPA!78TRjXo1*dAmfTIWmfizb;Fp&C! zh9R7lxoQ*lDK%+HNxVYHnU1Gq8fQ^RDA@&Mf2 z|4_kT&M^TvpdYB-vkhPXmU}Oyj2nwFk`qHNHQZ5YjwG0^5Mvb9(m-OeiO4e~MJa)B zz>V<_w1IGhr$yH@8-a~@RQhT-55B_+YbE8$$%1$-Q&+{(=B89sMLCm`I(VLM+p%8s zTef_MEyd4Cd{!&uHawCXqQM)(DHUE^;VBBFwqEYksJ3?Q(jl6ggcHt&>x2slMPX`x zjgbN@cv5Ry8VuVuZY{gKrFjMNIWJq8F!^mFXcC;iZ8>RT3%8a8_xqne__FJSlc7mr zYAx{ed`uuH`!-?HeM*?xL*QyYhBUE@I~9@oHbEf_X+B%UGFF(HRwKOtDv~}muYini zZCk#0NheHgZB||Yg`}JmKzULR=M~W7`ngjPTHe3c;E6MjfvlAeZZm90NG6fl{ga(Bp6GV_7oUPk%0^ZyH2jtaN#$V7lUrU z86|i&lj1slyMJQR5IAUz)6*I4j{~9fwU)w;TsKq~UwmOk(pj9t;Uz!(9t&#Pv)n2Y z#XnUk`QE~C1Mn`At$|JoGZ?Y#vf|=>@WL3g188>`d-+|U5#SXE%v7|M-&dj_;Z$yiR#@t|!ibmT2 z6j6mlsUhK_@%0c%3lj#;5;zm)##2m=IR2J#1db8sMM$_`Vc?0pT9Kd<5JSVx-(7(T zGwjnMg)68Uhw+zFqJ_ip6T&6*)Q^LdU3+nGG&c6Sy3-WkBTmj|C`T5bmV@oY8d2e; zM-@3QP)Xs?W%@E;umt!SwNyK9Ft+H55OgwC#Rh5~azDJJ^co2wC26D`A<-pvY-14G$ZN{j^t#XJ{hf`~?6d$sQu_xkdninIoiP5JbYKBs9v&m5>g6wI=QcYja zO19WUX>!k&rH@`%Iq0G`mlKjW%PNMVH4R(O3RubOkYwqbB@84Gl#^u3Kbx6Jd{;t` zqHAp9;8<5e4==A*+J>CeoiO;dD8&Pvqifg;!CCskVN#P__8DY$N z)0M0l%hN#^Lkcx!2VUtQ_*vO0Nlck^0L)2Qx+8HmL`!P$nO+bsDNxH|FuN*sesoFA z0TX<#Lxl=)W1=eFqGN=Ma$o{1`qQ!E$5^G7U=mhRsi?BwVD!-}ePZgQ$(hixP%QOS z(5r!im1o40ge#KC_Q&N?OoG^?#&I|hGyeKyiLMwXPnm-2M)RZ)mQ|9}6z(!g!q}8j z4h0}j5!$D?c|nyVSoF*733C~i4@wNF(8vp^Ag~tClxuE;Y0^w?gxqcaD>pEa#m%ZI z15y#vj{w4_$`NZ&eTtBQUsfR2;VFWf2**+;7NHp_cO*-7SROnSq!$3E1VT^|A(4QQ zp$i5w(xfa%=@1eV8Zo2>0v=*uUbHH9(+8Wu#ljDVw;F;4I2tM>rSFU}X;OUQHB2~; z;YT2vABkB=5fOmlQ1hO`iDnB49teH55p95L3yNe@o`YoxK$g+EHPG5^Uk1lY|bK zJU-*3l-2myh79>QJ5J^ZtHdVN(iQiS@H)Z~CHR@+g!pEvjM8}ESZ)@WTxitTQ%-Xf zyolwtMx??q+Nq@!JvyEr%~{hsoP{iN1llzMAT1C{mxgYRq}rQ@iLppl{*0y#wE{H5 zB~OF#4=!*^N#l-M6}_{Ss4~N#2BUy%tVDw-xg)IjudXsQnZrxjqovheOq}7?)3j$p zsFS0p>Ade`G1EKtRuWEku|$Kp8oS0)oWj~HoN9jr37dAMKB>Mao1ru%GG zZSiBq0ELXL*|0L2@txyBP)<~x^I-;!lZZ06l1V1aat3Uo`6Q~aAs$9Tf}yM<4#`3W2dz*d z9TuhpCHS%<0bLfBgb$I)cuCf>$AC*cKX$O6J|;tMXk@8HwgDzxL2AWdcv1i$44H-% zsoTc!S9C+9Dl?NaN-Mk0Km5x2$&nH_4bl>nVZ7N!vQ}Ahzo9|9T7roBv%p8p@e}q& z5C|oqE=&gTxOVV1`K)ZqDiF`ki0C7H;YYfS zFv?tLne(gw^*3p;-$VHw?*-J}QH9$_1&@og<({p`3zvyQ-;tdB)SPKM5?oyP4*ktF z4>V^Er_?eI{NvuX&DX5`+w_7|Of{823o0x8CHy#Kg@G0b|7puG{@b)S%GG9k>WcMS zM}{!gJB+NyRK*-uTH3Q!7#_cqV9c4aik=E)YRSCrurN)c8SXk6H9g~-I)_>O7~XE$N4jO(^lRd(ly0+8UeP^KDJCt zo92|9J>&WP+kF(1pG4j;F8jsKKdEcW?o9E>W@M^qbWZNL%rm&~Fg9mqMod_ddu;aS z%j9l4uKw(9eDW+2L(ACwrF|5e4V!%wyUjPbOb(kF?Icc{Z)QZ+vzdF@+%~}@@*T^r z29`EC;#|taKgXR|heTer#0C;RhB9`tk1q_Re{;!X?>My1>&4XuF;!cj#P=_ea4G4$ zhI3Uk4Q^PUoZg7?mQC;n_{w2?q>0KKM=y>fuzwhQIJbY;T~6mr^(ci~6~b-yJ5ykTPhb;;yB`A!3EsX$-ZBIpunh zEH~M`2VtgC0L^)yuxiOU@0iDD9lSHq;grh#HI;k%iNtlE<=nB!HTx&8(>vXzj`^B^ zEkeNWGeK=KrpMWp2m9uC_M6{&NiV_qpjClKR9w8QfJ)pomPb@V{Qr(Ja{~|nF-oG^ zAO&Sn?L9H0s~jy6k1HZ1Dz?Xj0F}EMTNuCURqj*2@>T8t0iE|=fxs&wURzXvG#%;%uQEdddcW#PEE;Ui0iovjNssY$E~HGz5Wf;x?Bp_;NL z$9A>4ZI;_X#psMkl#cOdf?S}*8Bvhsd&m2endJ_!@;G}ZT%!D$U<31RU`Yo^O}x=c zkKh#jG2Lv*UY3wBMU@4XIyL6q8TlGOqAF1z1vo03;1CrtGusiSP%;M(E+s@UQ{Z*H zxgmd6h6e=(xClwts-(j>18EtMEYu@mj(?_4E0^4-hax?x#RBPX(mBi;8{yz3mufI2 z`jezi2P5){f#V|?W(ODiyhsV&%-=Lgw<;xJJ)Eq6fU9|t;c_+8EX`tgkO2kaE8$^w z+>EGUtZ4_UG+X~tthi3AJSJQ+eGHG<7me72JTtUInrX%_ zfbslHP>Pgw&7A^jCJ0mhLruzJUnG?{`3|$dOVH zt;bUsR{(_B#;<}UDy!?2u~?wkfN{(zu-kPC2zDG*5figs!_c0PTBkN0m#-QZbqFrQ zV$iTy3yA|rBPr1&Ze1aXE*mQpW?1y&2gkU)7Wu?eI&d(X-0=3$fE*r>atNIYHS_ft zTbfmC7KvJ$%BbWPasXrIX@`P21O*dv$F?Z&=|5lSAkd3{thrV-T}zowtQSaXpQ1z> zM{s0guu6mjsIH!HtkS5yPK?8;5)nq`GmcPUaa)@SXjP)Zt4^lFQch)a5z=6lL583l z6&~iugt}>qC-ERu)!ypCyK)p5H0iGNrvc6_tvFO_BfSOg`PHV7{r|zBpsda_?Sl8E zLlroj@8KFwAPgNgFPs(F9Vj7(BPgIk*bE$$!4^%?ql%?~p(#Wf4g9{ zEOiNq5&Ak>g6%8xNs{)5S8XiK6WC3lR6U%KY>msLl|cmzgFT1pz_F%MQrpDoi3DH8 z5v$>Z-HHT1!I`L`!}AjfUXBZ@VL-zj8#cz7aS%Mnm6c6bmZO3ykh?V+dYq#M5Q=(% zORnO?0W~6zC$JH)qxKGljZ-*ow=m9@0SC@OKJ-Q{#E6OEBf#@PdvXDJM0&&t#45!3 z!=aaDJ*cXxMpC|2Cv-Q9~9hvM$;6!+pzp-|l2-JMe0;R}84_c*>ENp>>l%xtci zom`u2c8|Oz@1BolgKi8t{oKvEunh^!w%InXC$~G<+X+34-`U9E^n@Gh6uTG}HjzNy z(UA5*-7!b~!0lyNoWoF|`}BkbEc{s7-lTYv-}BoZdzG)k8upNr_K@9&iA#owiFO2m zzhmPN@vqwqZ$aqaoRfWAvE1of&kbsFtlMriq6D)k#|33ubY24doadtHJ9|012C0m; zng&E`2xHgi1<;Yz-h<+-5k?wMBWv>Clx`*iPW;6F#xj@L>Mnt|3?B5GZ#gMBvQkj% zFhH9Me%k-r;jQgN()*n@b}zcfJm5^Jgdvq71p{iffEGQ(#A9aPQBKt+!uED`tnJVZ zZ%4TZ&Y*pFqQn4ZSe544I-Twf-Nz^Ks<&C&bDOx4G-0sBY8>wz`AagM8mdz(@ICp< zhB}UXq;nU$nL&Jl10ML$%j~CUe7xN~J!R@EWaR9nuR+zNtaxkYqQ6JhYhsebZemRS zs=Ob~-xqvlX7z0Y4>|=e{%Hb|@>Ri63PO{DNyb_7%AA!+x`JVzF+ns>F9`!;m_H#| zvW=$vik+(%#NS1oQ#Es|x+Ar?l>vWL+DVr)) zk;#=+=0^8z`E(ri&S1g%SL=v-8 zy;5nVUO3hW6=4YjOCC5+N#Ge*O;L4WOcF^4CIp%7IAJAB1Ni|_PFBPH+>JXX1Uina zNb>s8qWDY_s1O$>1T#Px9GhGf>)3?C98nJe7Gj}ailmH5;ujxK7)jEPW&qjf=Vk}Q zV)UX#(Xn@@ruqS+?H@*?5(e^Gal!zDxl9A3L>3kp2Ap!CcaiCTKhZ2=1z>nVwV$2Uequf!YY?}=HadSG-$1=8I z4wl&W*JbEB*`8<+tUA(1l6bW2r2o+%z;DIOsF!LXqy4g_Aw^PaFAgT`N`JLqXD_bu z{Y=9Q^e-S50Eq2r5M;Gt4u;x`xAV8ZkF~b%k0{r5GC9)7 z#%aZ*PF;&c2kiHaRdKVr(ks}uVv3`5=sJnG(<>mXMapRx=_Vv|IMP#~Xtj_9FJLM;4 z*x%wK_6sfcY;fqhGjA@;4L3+)d1YO_Tknlz^(QlZmj~`y8hwTD(+8?^b^r*k)P08cE+ZvKB5T|h$h{H z0g_fs%O>5rT>F09@hWaESNaLW^~kT_Ejw$8x=!{d8rfNGn1_IK+Ynm{U;^FgCys$~ zfNlb9lnZ@gJ(PV21lXwt1&Ue=nIRES*dMkR#{#*~$OasLC;>nt04+xvMWd=84*l>f z%39?0O4X8L%TD&51~;N;iwFqd(gMEeDim|ZR!p$LzA6+DmlhEWE+=|2P=s|jSeTWn za26^15E>a5`g(IG`~DJ!^{RMblJ!VLi0LYtWXX2StSg`b(Ee`pJD;VTfqJpGkTn|t zJ0>|ddba%tdvU0sBMmc_zkm`0AcPM!`oN>y=;dYucxGJkU|I^|p)l$)Z4!M89HZCZ zw5b%$oXA^5QVM24ATBhfW}SJUtUnV5HDL}m>KQN2js5VWUE1RrinP5wAceRAW0!sTr+Pk0OU9QdEjv8Dgn{N#23^7k!NcwnN4 zVR|#(?5yuLE27Jo)YK9x=)^(llUvVg43F{Q_b2?u;G9_N9)&>`<5JW3+S$6~+F4fl zrQ>wUGZ#5@Hy%zjHyNS7t5i3^ISQo4rC;G%B158cCQyB(nWUGOUACfZq(>%7f*izG z>a2JiN10@omu<5PM=c5A4>6d92O1m|w&8sQtSuWN@Il+Z7$-K)vdXPw&?>qzGEkh4 z8KLOGd(2E&TtApLcxt&YW{v~vS4itcSu|YM9cNqU!E@3t1O3Itg5jKmz9u8p1Jk6R z}hhM7-u@?iodB?EA`yl*@aKWj76O9MKExAC>0gT* z1Jn7};u`#cAhZt=#+m|xu!?0E>;%UGbUYczXISI87!CNZ5~C z=1hp)4qR4#!@uEyEzY6vvT3$OP=VN}HOzo5ckUPQyT>CLlw%fCUOk?wA_{_n6HL<< zlrJep))tXXzI=xmH}`LCh=5ri2^#j_u5%(;p7BB+1?1(DTvybne=%BAU>(l%ZKlt; zGfo7^o-<{%(9=|*ZMQt>@?!{;DC;wbD~JR|-PP8Y-8xjX2nQz0y?EvYPJExrMwB&M zD`o{3wtIKYZW*&*?uK5bO0|GNRY{f^QJJqAvm*T5&S~(VFso02paveAwlm@4vCk1Y zNibslk6}_u>4q@Skk3IhQt8An!59isTkpN-jQlN>FZ2u!=NVN2XtgGz28|0g)G%78 zvsP&|5NDa|?#4i|Mlbk6bv|5cGhOgOLFR3K3B9Kr%ii28O_$8E|qv#J^K8Vem+?B(=eRVZfr0r}i{^7N-2H_A~<%8ZgXIJAlzZG4eGXqyMmcC@Ju7Y;+O#Hx+_R z`AE?QY2~io`sXAOEItxy@XwAcF!%;Rq4MeQD(hu>&U4%@Vc4ySyWQ}elMHO9Z8@!t zoJ#WZKev^6U$KzI6j5ILY_7G96DCQm^u6_oY=OS+^>c1&6m!F8)Z-OG325skYYqd@ z6X~w&Bm+FQZE692JvM~Y`h2t%m_GA}P!c9PBZS1KVJK3lS~CnYE300m!B}rIg2)8& zVQ!Q{iQaTpd{&ic(b*wx_Lvya**R|ZD!ec-yngOE)|+2AVI~mrY)E}a%Peja5)=5l zdF$R2sm1tVqNcl!RyG7kAJ~;jr|$HyO!+13YbBq!6f>eLX4--=@MGG3Tc?T(M>8{` z*y!=zgmO>rdK)4*D~wIhHzrd%f(ko=NM`E9Xz=ZndJ*FaCvHv_q(O;+?WHAdf-Jl+ z)5?K-e+gEk+bqjx6N1Q^lmq}-mH!XP%7R19%T$Nxie zuppHJ$TO@4ZpL` zQh3(Hu+EK>o8%%lDb1rXDUCHf^9y{$&+%k7@!5pzEIZXjPC=H(qLh*_Xf`o++FE+> zp|Qx+OJ}CeOQ$|u;*$6ukl_CV=JZ%g_uX*vFdpON0@8}IJQ|9!Skp8E`2zH9%mcqC zw5134eiWIyY0R*4(;wsHq_{*+%J7s}QW92LQbt)}>?-A^tl90>>hZ4*og|0;7BK(L z80j<2r;d}6MWT<9kw&85sPV?HfaXR3lz;xZzN zD+hNm!b{tD$amF`NF^@Ma5h;)qXNGt zEu4o<(SMw@Gh|!L&6b7XD%2^MRN(O%&qoWRi9-3oS+Z3j$dZqInpuC7i`;4Ay(%Kn z>rBEZRg6S$kajZRq&*q6c>Y8ixi}6qO2>UUm23f8g>kC>^5vp3X6O<_e)Q8vvAlCLFHg`5&6t7pFuK+jFgeUEERkWm6 zmEWdV0JQfxZ0S2Im8I3`5K~mHY#{pZCn3oW9Od*arWgzesI3 zybW~i-QDA&>Yx4g@OT_< zqaM@8n9%bXxd|&Wb~6Bn1ns5&eCXOM0YvB8RwW1r?0lNs8PT|s3r!{4=KO~?p_^kM9_tr;o4>Qj7Imb?{L{Nnv(=$LFp1F*sgin9o zG@vy8xYHM8J6H?+S^(B4S&ilV^on=mJvIz0@yCh)CSVEgmCDssOn;U2E@;c1i^t-e6d1FHW3)aeIsd8>9?>4YW<*S~^|a?~ne(i_ZChSTl%{8znmA5nP_&P* z=WI3)sE=y=YQMZIb&|j^JARPL@ZBuOo|Ew>L`U_F$hxi0cX_Kj)aAF|Ll;*YZD+{6 z#w@j619lb%-I&mU481KlcSGmJ<9NZA{pvlqqFwIh#OZCG9JFn+MMZY2p!nY`ng!TC zX%l>F{$9_VZFZA2J}_AFH52!>rSnTvy~pE0ljuuvZS`=aGZz}%Xv?nQZM%U3Mur8=@dTH~MzBkodNTETjESZ`qc;z5TqVF+sCUMJ;-h2pubu4rYoirN#V0TKvUWpMB{m+ZnGeMYCQM z4k>z~p=MMu39&|uQ*=$)fLC~2*(i6<;z?5zh#{|%+m5#u$G~=H0p98~e+S*})~_PE zFLj*=T|zxs*+f$sMqWNb>H#U&;45&=TSHPf9!cmB{&aG2C`T2w&Y>V`5yzxp>XfV8 z;&~V&8^5?~@n&P3)HxR12Ut^Z9b!&zdloiYean8>#)4O}t`lmin|gZ_D5qV%d1&h| za1NPcYVEBTpEFzN9uC27uUh2F_(n>)Y;`9 zHXnow-xj^vKT=L7=oGuX>0f zx4ZE}f7{&`Z2ra~j%o^@q+c?P&Ir8JiKcJW?nbx1t&y}Jaa*4(m$_Bsvd}q240|Q5 zPNxBz1V*g0$h4rY-RT&w;)l=ZXx=ulBUjoF7q|UTE{SJpt0+=BJd=0Sr6Vjvo9AJ& zD_AM#UGcb8z#ORb&`bYuFw9|-SW#M2LO##WB%}H`*6@|0KL!;+O^}jT<~2)K`Mo6J ziEDhm%evrA9{tt@~~f)*-XZZ&vFyYv5$FsO)`PWA1%Q>J@o>{(Va96Qy!tKY(?PW-HXyoC*%_(>_h7gm+MCnP)(XH>o?L;weX%Kvvj)qjnXn|mM9dX0;o ze-AHs8w8A-lHUq)YQCGI0|$T-{okSei8!n5y{_(w7{BtJRqyq%p}zvxH)kTtm|Rc6 z6LGq$i^1~Vu(U>%?7F;!(o3m@SmWqpLdX)xeJ+*x*@aZ>u#| z!K3EK__SvM#80|JEY);bduEYbIb~Tq!J1nK`;az9eePCW+A{g51h?Y`l2dbQ zCl`LuT(Bw&B%2WzK+b?@u}YqN$r3qfa9*uYR?j+(S-AmGO$N-gLc1^Z6?2w}-s=tuu_@2h zVM-<#$-dbs_j}*lC$(d^eHa~yiszb<%yKWYE?Rc^x=o~DrlPBGUoFOq5lPR-4&43t z<87BK_AI|wzxT(E>^IJ=H==`JJ;h&GwWFB~@$YRdvd{R)G;WfVHSz4ybhLIeI3p%RNjTalGfSJ#{n zS3Ge&iG$}a)JnY0%OsVpyoK5o$p>=Tl9<>F=`{JkXhx;&ud7wM?cDFAFZP}pUmA0! zDNu{x8?a(Cf6@9R)?j&UXveYLtMYK$fog0fUK^4Zv%NT*A^iwavybG^qLO0e!lW*4 z()H)f&wKM}tu^NCncpwO-bV1ON=A&IVKwO!uR77{@MYWX;L3M1)fRbheAB_HfS{lf>MvzsW^LKw7S9&=Jb;fb=bR48KX}4(Dnei7wZxZ0`L`PtP-P@o%{L=&|33dn)6G_T6h!LoJx~-QU2)}cpMx2O!>LZ+tRx0)-|{#7i}Ak(XC)%4BifBZ zmWPHy_B1Tv5oLv31cNu++)Jy~XCB0$pS1LQ{O}Uu!-;#gEtg5afp<+O`_k*!nfdLG z%X&hgpL|!hGeCQ~gM72qwhV7tg2^MMj0|O>_a$On|Yx;Lr+liN&9CFu02krZ%)P_Xs8(^sHGDfL*3-n;qrl9sLn4)(E*c)hm|3dh;skJsg4~J z=@tQ*D9ZJbaOPATJQgh38`b)#Vmj$QlX5Xu*L!ANhIzh`)xQgd5|-L<+o9hoxJGcs zKkvj0137MCpG-=27VJ`_DJ)F-Qg-`@20I=Cvcc6ltw<#A3U(X8rZC#=HT9#*IkOaGAz&6+!tfk zKAA2|Ixr+FK08yP9pT{#;{ z>G)6Oi7@ijGRT3nnwNRp+Oe`)Bfv@+@mXw|wBaV>mjaAP__$8h6|j^z(rsUxt{_|f zmanRtkb>e-Gase7iKUD*T@k9Zr}q?dIU9LVQ)ef;)>w_?(i~~ zQ!dg)zEilJ48a~6xY>$I2&;*BqHHnoN{%)aDJ2l|D}=}=-;b`3LCG4$LN{F5w1z)6-F{ zj$v4gQ&H5>25KP_=oQ$sM+}QUcIF_b<4T=nG$P!sw!!#4M~M z*uC_GE9MNLPP33867DMv>}-)-7_D+EvC|#?bVA~+eu_sJ7SmJ`xrCw&7zgdFvCNOf z=&(vrm-4-}!TDI=W#v?hsl=uX8TSVdBi(Q$@=a_|Mj_-jhT8>w4RwGn15HO(K}3Cr zP^Z-&n`Hs1-a}@@B^~XQqmbS~3|eMF5}_Q4Q8jN9rxH^8f#TzWZZi}1F$yLr4!;MA zg{4wY8AiJXFmNUUbry?t72f~FHtkn08+3w2pz}+}k4*!*K3?iWqbG+cn|$5*UP#wX z;b6fx7D#hkvDp)eJ+38K0ZuCEv-qBmZ)! z%)jBogL*kiOh0eMj5_JE@87--nEJ*Wcd-|6N$0u=x2|{nX(7!Bu4!P1biG=`e391Z6}!P3%4Iokg@ zAFGwv#6vLo_w(zM$&i0keusUA793ls%1I1PZN6|PDkP!vNer%Vg>WY;W@*n!4BWC7 zm32|kM)=SOOuooT%;+3GeL0s!CD(!eDY~a^^FAeJbrE+dO|)rEIEBeHPXsR>;|whv z#_VZ$@nS-vfn=kBWRI7;mY00;P3DxBeDE!g#j)#;qD9uK#kyJpYKBgQ%IQ0|(xYi;HFOPzHXI7&=Eze)uA)<A%5eQ7XT`%baRx9!Q6+=x#55XReJM7rs`9&=@c48Fy+p|b{fI^}6pGVed!hE)UgLx$`K zG|MI-xW(t?wV=2nv~GU@k7tKr z4R`nzomW-b_`)dVZEI|^@8$UREAJ+j@{2C-lwP7tn58f1fCiQ-c6nY3I;~4yyfM-O zK@$PS&q;U88X?cBLN#xy$7>Q|s?|?~KYD}1IGa!5yajrfR5bcGLf<4QHu(^vIHGEH zz{QZT{BFK=NmFv?n`_?@eFUMX%6HXds%cHpf*LBFCz~TBH1Q`Jk-HS2W0WjiHTf&k*ZCxN>WdBYk0L;+MO%ttsF*4{GvV$xwKC9Y?<`F zxJXdQJk}_)?qu{UV~+^r)45|;=0>nx2$xj)RZQfU0(mWU*Ne)5JDckGxh1r3muV8) zw~MH_U*Vrr()-iO%NIs!oTgW;mI)aSbV#ddr&kds&k4gE*t#S~)M`v>H&64%In1ei&zlZX>8(1TZHBZ;6W!S|lkCR)Pgb{(7E@WlXUV-JiUy+C zR1+l!DB@zI1=y$_V`zs(O*>iH7w~%{Scj*fhVjjxpdI*|KbI z&Dg@WWtc0qx*btOWJ3kxpPcgxPNiF&*G6j|#zb)L_;@FVvQ9shCPhQr%GAOhk}ta+ z1fRMKC4vJ&Z^@w~&p0UdL$HYB9NY~PfLstw4>XU~EPIkTm#+mzayjlW?YG|Sn*`-! zC;5%+2l9p;>W&>?wq@#qT`wDJdUZ|8>ojf(Jd1T;kb=z zKI#ay`YMWy$Q(ZU&H)WV;_=()3b)w1aZJXs;Mus0M4$6^n#dtcT0+}uPu%KpBdDNF zanoo-l4=RX={zp)#!v$|9i6)$$yEGVg7C8G1mRcMX~_dIMQ(A)ID{Q&%c$EkcqWW* z57?X9-}i>K{B7Xp2i@oJDtPJ&71>;+B<0!f+X$L0xKGh-V&>+^*kgoAVbzmHepr-6 z{HWHmO40wJVudgl*`Ss=PoN7SF%UVp8t>2=YBu(6*g3!0ppuxi*;@UB?<&$3+ zbJeS=V+l*B@;Oc-!!AH805>z#C7n#eWsYzDn8m*)yzC3!{K@UvITGX71|jw_BLl7RLu}@PTsioy{WkOKO zArc0C8rz6m@R1N@;p^ZOD!9 zR8?x`n61A5&Tc{5%*d!~YzH^}JBws_IxVBEv8~raRn@qi;zg!!24*uYGk7MM&9zXF zB4MkUO6g&+ASFAtTSvz)pB<`>9ZcvuPi9-Bx`gwtroED&VU3PBa}qmNA$_>Vpvk$z z)ST5%W@D+eAV-O>UGoR`Ev`SI^NdWV%muZ`nO&S^ek&3!_D{~#{2~~ z^+vS4kZy9^d)PR?Z7i^t+cViKhfN_kRMRw!9!}yz8oW4$@vT$2s>eZt`v{LQxu!|0 z6A9&D?=@*a1lvBv#pm$PKKnUUKP>u*vDI6aW*K!}2A<1aN~XQH|LpY3-gtY_45=uO z=|5;F3g~irq4t=ie3X>#^%;hF5)a_h-{k5EjCk7}O{h1-9?=#S0ncg`O+tF*{?>C z*r_+^4)1Uhn*ZLR<5kI+DN%SZWBxvudq1pZ&1|!Y|KeP4mY-Y7BRXtIT|N~4yy#>z zrMN=y^&Kv&;Q7b#OSmptrq=VNl6<}^>R2g*z&tRxDaTIn({t9dynqA*7$=S zIpA)EGp+gDwNvx!F+c82rfcOr#Rs!9)8$?K#o^{s*K!x?&y46wzn_KQt;W&&`{nEM z;Na?U|9*|;VcKl&WOa?e%iA|HyXX4LjaPh98Nco7@6X7q-G=;Kt~+t}Fv3i`@HTvze<9wazKtuh`TOl63p?0q;bri? zH|dR}>BjH%!Sv#?VX@anE{h`(|E@!j>vSsX{=0#fJ#z+{*Yw(HwUT%4g0RE$@-xzL z!>#4d5d1UZVRnS)&aX0MKQkq}u7fVF4!!KR@~QqX6ASoyoK*>mBi9xIdJCMLP?U8} zAnD!fJlewBzolqt@Pro^YJHAY-_<%EDE5_$rL_fzR7spF{}{8537ipYNyr z-m%_;jUW2=mwS8nUkf|kz)zYXTut4R6r}oD>@zt_pmS7>>mSDYe}SuENt)ZHn8ek9 zGl4U85$PTDa8^#Grzs-%BUrO_vvxaGZlzNOd%%vukXZ>9ZDar}dJEm!1WuGq_-h!UollNz+bq}00L6mx%&^LD8r zaK*w4aT4x1Of@^6k&D$%lpWfbM)$ZH7e1{mLH6aSHuBfcA;x5r6 z+j*HiJhTO>unAyv!GzqF(C(l3a_;Q~znLIe^UtmDMNx2u#+{yW_tSvuu&+O_rZEU3 zKWm1Z<>~i7JR~Nrsv}fiEdHEdL-b+p(8tv1+E>bIvZ6%jKNyeK*IjQobZEK9Za-AW z0gpm^wqk6NmskMakzOwfOO_}$y68WA^7Af9ebClJeC#k7BYp)tKV->hlb|zpUvvN2!zp{O#AAy1OLcaFUtxvHi?<9vmGLFzUUJJGFAOGzlW|?} zb>H6ekThP4f7AQV3o8%h>43J$5a`LEB-%i+A<fBv|UFBJq1LA#$;Sumd~q>+jGetkXf#nSFYm*D)l zvVk+fpwU9B6Slt`=LS)&VbvcFa`D^GfV7Dxk>R}R=ek|)Von3oP4iFrXKWQ+L1(@? z#^}KpE(Fs_LyM^J0^_QFWsv}Z8;#Zb%K<$}f-7W)x^Q>X4je-8i_?iyIc@S^!G&$* zT0dz;lAP#&SPNo+36H)Z@GmfDgqq>%+^ilYbsFF@Em)0_n3`YVxG*5Oz775UDMp#B z1bfvBli_T$e~VNeYeEwun>=@HeoDziRXB?(OD$KBtuXC(GyO!SedQ&F%^>|amCj+% zCdLu7^LOE31+qL6W5|OC9Erk_WSkw2Kx#{bxVAoSny8fOpALSi4@N1Oxu!9$@^f0WS#4N~mEl}xU=XD6_VUEuQ>I1Eww zkW>{hoM7nc@ewx5R5(h?0g-TOYPvr_=xn|)fYDW~qvI+m!)BccfPl-Xy{cldC ztNixZEV(=lVju^qF(HsI3R;T!T~E#^V95#x;%N_x-HD*7a;LR!Z*Tcu_(C4>KuZsq z3KMN4?HO0HFcy`0O;m%yOd;s8M9-OLW%}v zh*uj=165Ar#s#@nQ4OJZCq`iVYFO%JK;gZzJpF41F;!oG_MgPJ9G{%I8V4)Y4W1>< z;f6Z(;llI`hn$z|nb_l`uI~2TVZ=_Cd~A8_hg|DQeD_;>HnC3Bclx?kBg^$s_D;c; zS;gsLHg=(?V+Mv_;$PowbTpydxCu%GhuR`3`0CtotX?pTI`hkX6 zn$JmrMjkPE6IdCh-O!-F4(J@*7+;iZedU)I18c<4Tx46 zL=Q$sWm_;E-By}rQ^t7Z6%=nFiMoYV|L7U2!uC*CfY=~wJt%y(#mJ(jF)G|kJ#rH< zDy)?U<&@E_0_TLQRR$`*L~}cko-)MP)N09A2o z6Dg%sqn@+}7F%a~rxAq*nbnFXEZ4tm>4)wGKiAHA(JO9aX=k)Br?;t8+Za3?Fns#S zmwfCGw|d(+X#NX3L616;(5jVa1#}}~o}JMFs2#W!zR)Vj3e*&*fAjR+68K7J(*{&y z3@7e9#}9zG#rg-i!vO?jMd7UQ8GnDxvugy!2GA$l0N_mS#}2UpF3 z{DDbMNPyjh^r4`o8>{ScH5a2|@!^uLP^H-Khu$%@nsxPtV%hW@KYTKpybAJBfOV?B z(9!ix{cU3F%v~s|UXdGKkAdf~ru3N~(<5g@**k|4+JON#{L8o-VG(lzh%eY*be;HF z5JAuf*mTcs#eXOKb3tApwx}EV@BDL(s#-t=^W`O65l;c4Q~U!G_~Bh&ur=X-uz#|t z$i#oq&lKS}uFxYXCGl~*`n z1^vprp-o_E-%wl&+wL2^zmu_A*9m&Yt6wVq0v3l(ezjvSMii%|dA`t*EMq1oASL zfJm5?J4!4>YYSFK#Uf8*!LW%{=}{`7C6kVzlb?|s2|cMTLdE0(wGP;Orja5|bSR!0 z#t-L#4+j?_uo6uL?E@u20vd@ENH{o97KZjcNwZLfU}PX1^UM=CkayHa9Oo6 zAwaaigoCeBBQ5C-K<@Z|mdzwbTH>by^i;YE5rFLYLpFmrb-xuU@j8)Ie6C=H)?)Tm zDnRO^)9xhkICi$69&^(G;%vbe}LDo=69J^g6|hF;DW?38!fZdyR1=F6~p$Ak{b zCbgr;XNxkD@pwc+opgD5nE<6AbyazE73T<(jj|QoNE!g40OKgaa@{@&8{nR@v{x+z zRR?PvfY4)!A20loI-x#NC&GWJ6ADP3Btb5@2qxDMkY@2~XzN9UBtbyxWRn6?CmT$> zFpxTjNPyJ2GDPB0ryPU8HZ2ZCs%DdoAYx?-3mWu?lpY2rSckLYG^kkfM*4_RDQTqx z4x6@SaVM%}rc{M&9Aleowj|`x2CzQ zp+uP(6j;m}+iqyq|0`%V{21Ss9>~Xu?lzDKNJpj>BJb|DTA=P1WfbM&5>L9IYPIz=0sQiemagGRZmJc^rc zE}=LOA#T2$M7@`49LksuSbB+e`UmVz2;4j=XJO{15=&k1ISTyAEHXSg(SVIvSlU#s zC0$=8*eqx|lAV-&z%hs%^jOEAPlQ`BK@4P;^zqL1W&LUfc_xI&43VhbHA5%z0dxSWpg z*ibu#%9fs9T2kdHggWGOx|D9;DtOZX{y}HxUQrTfI|DE-b3kDysHY54>f-`(6lt3pN>n z;n|nl*A3S?3u5)VuBeK~fP2@&{IxF|qShl=uXNG45EwN^hI^&)_XoSTH*YVC&tVs= zFyxkl7+(|}v#SD^;gYLBv^fW`NK#eax33O%u6(^*-zSe9G_m8}wZA~Noiz7HOTC{q z@ajEBT7S!}dSQPvewdv`F3gSf!+B?a*gh(qMo!A55+rzMZ=4=zh>L5PjT$wqnP4sz z%+)vi_MktucG}>F2ranz>Rd9*EfK20xhyGGG+%nlz7SOl{u}O%P||Q}I9rJMCNv1i zMOb=7Gxais(nsFYJ%OB4*JnAY*J3&uEeV-t6yf?!>|Nr^OaG|&+`4`_p>1<6kwtE2 zaTE`?48Pc-HdYqY=wK=XvFVLb6;Z=jyU8PtY6k_D)Y+mlYr{KFy=@;y)MpKE?y)wl zoDfl0ukZW{Qg(g^92c^UdAm!z(a;^lvkz|{4{xsizlS$Q%@8cABBcaIC4vj!8Raan zQ(}xBWvhX|iP(3cf!=p}2kYYrroUyoCHiM+AD`}>uh0_dlc{n<7U8EVjei(2YL~vJonKb7G{XlmLHyzCqAqxX6QY9oT;KM8S5db3({6zjykf8 zGd{4$Tt7=yEQ^A+CJOqNj3b)foppvlx*T8z%z`E5MzUPTtVFu(X+AtLb!Rq6JZ{65 z!xsnBiHR4Hm`sf|S4u3Pweu;k4;qbF%7^4Kpl|S(P)Ofl3Z($~DS9ptnuZ~_@6;n| z6=e-68H&Ox?_1@QI4Z+_g&hoBTxckbS>ZS+3 z8IS5p#-9jPfR`f`@x-4jVVA|9bkwUI4&AAR9qPGwW;O`od|Se>1fxYN;*Q@DiUg;P z{T2X6J3%k&MAcl%y*-eo;JC9%#NGKh^ag4MU&?``GvHZDpLG1K+DOUcuMg*TeWnp#$)YI9DpF8g9`$N=MJ z^ez~E2#r0vUoH;r4rWZC!=h{8afv6Z_@qme6E5c0e8;_}owNt2-7c6XzFTa*Wv#t& z!!H;QIl;1!GHaB!Rr)_NPdDBBELD5d>inntHf+cgynvcq)}i%ddP*+5-eWE@C#hs4ny#a_xDVnD`DuZl ziL+g;E%pD{yAA*;imctUEU+TNqNu1SMbN{%W=v3G!tg7G6SJ#gR>X+e0#Q*;K~F_d z&lMH(DFzfUtl`WjiU|{-Vvd+2_t)1u-8(ha(^WIuGd(l?p3Y2Hz3|ohs=KS+%rN`< z%qwnN{r!!`KegLylU|;>L|>=nzeDeDzWTh~Ub}bP)*J5s!rIFnzwpPy$B$a>lCkg3 zNbPXA^X|=a-a7A=qiXjZbMvMXmg_OS zd4q0S^*rzN8QYFs=8TUIpLTcijP@_DJ-pLZSKo5wNylvd@tc2~wE15TjC^YLX5X}b zdF)0fY|=1sMDG>uJ93}nc3Wf5_fDQRclV|Rr>;L_^DDay-g24mw_3l~7Ea$`{dz6@ z+rkbXoO|z%eT}c4@XzCZdvck>j(u;;y?g)jlZPMPq}M&&oGtbrxXV2wz8N@h z-~<1>{LDWVbzA+06~F)PkN^Jm#XzbfB~=d zUFoM?w_EM{qo$5}^@dF*JUF%UT^k?v`@3(y@zIZqzWDvV>$f`T>{B*)bo-kwyW*06 zk2wC z-_+&p^}m_8_Vz2^ci5cX`(3*E@E3Qu@Yqeip1Ah$n+<>Q-aVE%chcdD51uqtK0c9; z)&6(zq{II=Yr@(G{CvX!*S){x<(~{$@$wDdn|oXH5?{T<#_!s={Yoz^KH7Qu+Y9zS z@XgmAUFy9X+tsc!@S~Y~-n+*Z8~^jkJ%8BZ_yL!_Ke64D7ai+7y-sS%oQsZK^U_1M zzxt7R%g*ln?@>>@^yOM?-%+>T*6oW=w*6|$-4{Ny@zu+(^t1Tnxb?SP{CKa6fBviE z4{WEa#?L)^_3Qo^J7e}2GwwNgz2;Lc?|c2I2NwN&+VbuGeCg588yjAKVcz)m&#d_1 zxF?QSb*s)j&bq2=eZ#L`ZBX0etT($X)$r>(hwe1#g=RS9B`Jej6@3wmN-+yg8>#FsB{rQg0 zsrB&R+8cDKzh7Ma)F<7R88BP^m7kISO4ZM*9oKyNh`y}m(-{wbK5fLyKXl!DuS4$q zZ}T}9?DNVO`+T?Ty*F$>^X=Jv8wY*a{~YI!x4%zae(0e6p5M9s&-b4{-T7n2-+PW* z=Y*!2+l>BV>2`PQRD0Vxi*68q9eeBA&F4&iZMnul`yI3Mq^ak$ydHjT4$e>9Nu5#KzKTZGFkUc*+==gS{mR;w)uQ%)e(SghV>$VRM zJaob{lQ(>J*1*lredPC_PW=6YpC7t;>gz90*?XIv7SI0U-D}^S(Di^r_urt?q}N`& z_Woab?YraZ=ihhGZ$BQq)7xFA4_|Tep9k;M;qq74S@ovl=3l;O*bXB){^yZhzIwL# z!i*x!%(vCm~^tv3Fg%RYSZkXiezHTAs3$1XVS z+!43lu-u4WXH59|hixVta_yj1uYLRA;hXnfd-2@y=RDherDHnH8vXOP9iHiX?G-)e zeSP6Ccb|9ezVA5hFL9xk}gn$u|K0_07anC-;1$f7d@(`g8N;#HP=r-aqrpb#Gef#RneV zc%3ERTk*ZkmYcZQe^Li*_TI0Pdu}$V_M6V%^jvb>0UwH&|FPM2?skzVXSY?N3bWrL%~J$~`PmjU_fkw4cQcgvgemmGNWg5PSF8UD?P_dlPr z)Ma}gF=OY2w+=Q>Vxz>vBoZn8o@$-akU0&*U%SB7xx8Ar9UVLo)xc`j);IONw9`VKwTOIl5 z`+GjS&KXx8TQ_mJ4&yHS>9%dQ89w6XHE+0Rm(APH`gMtyAGl!g`8%GvN1sdn_WZ$r zc0Y0D=T4ctNyn!5rmwgAOQ#%p^QJq$@YK=6R{Z(?<4(AFuLUzs>hSWny{?%$p|0l4 zY3Hxe@7BpRpLO_b#Jrwj#JkVbb^Pp+F;BIBcl8H)JoQDN3y!M!bWr_Er*HIG&p|gi zvw!<`)9*Gty@#`+GxPM5oTlSe>bsG+blS(P?)vHdy1v?dyF)sDJaq|Y*N-MVdHx^& z?fddEyU)LP@I!mQ`_nuNgSj`oqPkQbj8*eyx z%jYkBZ>1}ovqujbf9|cFe^~bGtCl|Vq6?i5Qy*TtNk=ws`g(IxigpPwXb&r|f+1N)OE0^@JNmp+?s;?Zn&LR|^(X&L&7FSSKTdAmxcxToe0%DP z3wPXl!*j0b-FMAh9>0F-q-E}S|LDEf?lt(P^KU%(lQXuyX5}F-UD^5Ywd5DqpWFMD zzfIoYsz|TygBVQ#-%*k5g~k@8WUmrtV1HA;;SE4#xUM=Y~0Fp0eEP`}E!ai2X+&`Nz7C zZ$0>_mwT;q%_;xa{;OY7{q{S!3%~EULvL<(`3b+idd_Ya&snF}QdcfIZn^bpZk=@f zkz)SNtDW-CPR_c{Je7&yTvu9rPh37>_t;Pcuy8CkH7uz^SXa<|Mv$jTIuYMx0yQpzUf1@ ztZDAjJWpbLB{AL{d}y~*P9C=MQNR8E&_4J5vUumGdjC~($H?1`xwifBw|@Bko(DgF z?d{IYl>6UL$KJo7arhUj9(CgjYtA{c$NwGl<;pkyxcI?uS8U#N(vFY+eU+vjO>;Y) zyLH>9@r!ruxL~z8&%O0@yH{#n+4tZs&fQ@3$Yq}U zpk|*RKl>oH@q53%x}jJ+YtWDP?bC6;zb$_Fpg;Hit9$SJH~Nb8p0$s2r1P0fQONV< zf$LTsK6vT*Cp`Jtty@g|za=Jh7&++I%?_A(?Ip|q*zWjGZalC{ho?7va`iK6&ink~ zoyNR>_dt-Y7t^^u=<9(dKXRgd3c&H7jCU%mUHBOm*?`?tH@ddOOz zUGn96Cv5xpzn?$-rN_?d^~vGm7Vfp}_j8+9{`#F;Ke_3yPi|fFtO=i;(QE8FV@KRM z_?ICkeK6$Axx38yznu@*YV*}c>@oPc!Ou-!WBA|p9rfS?9lttZ(W-xYb%Pa$J@M=< z>u&JGietnDV#NRPUe})9Zo5^wbV}`V-Je@8z4BUp4m)pV|7G5NbIRDQ+19MrW)lya z_xmdUowCKOeR^#7`tsN9dETh$zb<{{nadsY-EG^Rd-0=nz5n^-KVJLnr0>38?u^s7 zxa*O1x7_=zSHGP%ZRqvaeA@riAHF+mqd$7yHEi+!`tQ8kTA$zi$NiH>Ki}!ehd0{i z({YGcGIIy;3?S-9wd;6;`c7OQUGY=d7d*dcG zqf?`A{P=}WuAKIKpVN=I>osk+>n6*! z|8dn779QTb;jXXFId@?D#ecrN_2cW`-*ecox+zOdx#aX`CODMl*lo+< z<7#jC_OaR5E;{x42cMLC#i!T5|GKAdx$cO|F5hR1^`5(K-<3N4ICQ1w|FuosUS|wj z^ZbXKman_!=%aR@diM3ZFE{AEJNR{5UHAF^w~Rdf`rA*t>*iG_kKgiNhxdM&z1;b- zeoNi+%X;^0^57@yZkU=j=gGY{eSPMji=I61uD@2e=h*jmJ^G+g2kyN>|E;I-+mE?; z%@cS0=GzOOo3{Ch{a)U2gDsvutN-o$KXhrYT@F6&-hb_}`l@4k_usci_rou}X~=Jn ze{#u#-KIBQ^w@hHzFA}chu=NrmA4+)s^O5ecYk5>n9q(p_~ci%9x?pj!M}H2{?WZ( ztm*Z`9ycF7bB!nN8Fl49mcDBLpZ}UxztY{8F7@6~Gd}EdQpa|0-Fx)>@5iiAJEivU z`b8_$o^taw*YsV!^R!py?A@@%p=&)n@5l}twBP2!D_>po&fyn-wdJlCEw$$R%XC<^ zSD%}1x$NN6=GC9J!X97jICW~*DT5C__LIg3YHoaW&MO0&Z{F&vT_*qco;g2UvD5K0 zx*WLvFU#K5?)zj>2 zp4@ipEgsl#mCpAJKJB2f?fw{6-)paDo;~K;bw7KJ{kr20-@bjtMu|CyY!atHd}e;1M7GBziWSZ=WpwN|KA~pPhIu!mFj!1eM$XO%Ut@|n%6Y0F`7@? zVCaD#?YaB+XLLB}haFEjuYU8jFS)+m&g;)v_o9Y#Yfro4`t>(FwYJCcHB)Xrf438x z`!@GIW`gtSfoDD3xB0Yd>o;BdAJ?rm!5KgH@=hx>eYM)7bI<*0{?thqoiC0&m|y+) zZWCVn;gm17;-Z}D|LG#x-b@t*luKMVniEG?>&8Wxb-MIa$ z3;8+qTP}UcUMFAOYpLZl zTIrJE-+uJ=Uwyyt@YVC5O*-o2$HtFYW~mXs4|{#veQv#C)a)aN&KvaHu5aGC`igfQ zKktK?hd*>l&zTJimOWtEHQ%0f=@Mff{qo2`C(k=`$ewS$yW353F1>j4`e*Mj;gq-3v-9Pi7Z~88K$&W8yJ7>hA+aFqUzjrnrcILKccD;Sd zzGr^+@D@#P-uc_h^LN?p&Noke`Sd9_eKPR&>+aro{P|n%vFDgsNAz0d+ks~d*!yoc z51BQu{RU^=dUNeD$B)|YuckxxoBjHL9p)_4_4eH_{CbINk68Jn`%gIip+~-We(v;# zk7_sN<}Qbhn%!@mlNS7IhvhF_bjbW0{_pW`-urFCJ~w^(?ts3>umK0`xcKtV-udIx zXYU_B@2U6yzV2HOjymO(&;GU3u&WjydH8;VZaM0|Z+!O1FTWhK$u>9q_3Z_l{cYBN zpP#(W@Ao`=|3Nz+yVZ9;KljW*`@OdOs9_I1`uO}AqsR9-^3_=))sRvc&A^FD+j2%wrDdKmY0(v-f=Cot+*$^Nfkh z?!M(R?O!{2`jQWQ`B}dq4=;7eI+*9A*uj8YCy!++U^^bU<`~6c#O_3Yx zXCIyaXY-d^HZ6PgtRwFlHs!60c71%x|L(u_qyy_;W}nUY?EM@6{dUt?uO6`B>zgkc zKlSd(ZOymddUVaf_dIp#lIP5O=BiZ|jXD4EFAjMB ze;e$xeb4v)K4stzrw%>hiOo8H{ng~*zx;UCJxy<}vf^7m4ZVEbPiGxB{gk1XuW`en zzpwn}d6zGKVu!7+`f=Ae>rJ@&kTW))`rb(&ip};Ov~bDuXa3%9@xp^2I{B_II)AeG zl4*~v{_W&ppRU$(zfJZ!W&6i=I`pocp4sT*&F}oZ@3lu?@q3@|HvY2lk2QZDe*E`` zfB$ChLECrzX0OF>T|a8030M5J@XRM>z4p&nuf6HT=NEkX(G#z--{*gKTEpa?6L!7e z)^F!;_WDQjA3bHAVK+W>*1o6xGVQBT^Zz=x-Mu?L-pzTS<4R784BT?AlS&_#_J!BB z4Ugw`ui=hxNZHW|@kb++Z1+dindtX}kc~RD;u_(dm$3Plt7>!J?j7UQ2a#HDd&0yso}xtkWAW zlE8EX`BGnBTbtU7r(X~@trPM*Xf?}F)LGp-03=%Q0$^zli2-6DN(O}GT|P=oidE?h z^r-X%X#80Wh^#g>tAYVJt#ZF7pGiv0fUv7#LPo`*bDwZPQY8$8o)?{~B+1H5BXnvi zGbI`|F+dCu1C_u)eI*Q%#z+hh14Ugo{A_`IW8k@aBo5fvJ?j@T4h}vb96*S% zFnin8k4oKyB2}%VsBAS8U7&@4pF+P?prDh1!BbNxpSDujYQ-)Y5d*{kF+dDdHUkX9 zS5`z*J`?4BiNi?QH_5iL1ya^j2J@8D=m;DrOS$g^O_P(BWA_jSMsKDE-yXCP)7*1aBpUlNW)b;VA?f zJ_t6mYLDjxGo#qS8p9;OK%?I(XMdhDW<|hLQ*iWpAo{x)0%|x}i56XY33w_BbdV4O z!~iis3}_7CeMAysfEXYKhyh}tx*1R^1C)hUVpl)vMXKJDQ6QO-?O@Gus^7w^C#<{iqkIdXIJ4Y7cA7AOQwmMJbT0RsGmMZOGt}F)IRX?JVKw^+5E~K|mcb zEJBhH1H=F^P^}DD-7=$3J|@`c6QPL2KC9Yn4Hj8+jF_K%UmSlAx2pkH8Sht7kbH9!L*uUIf$;!y+U}z6{{sAIBgu z!N!njJdn8bVvv|%W5|>siGgZo03RZ>2=@-?welHYm7hYK8N@_iOt5h>-3IKSO2r&b zt5e_-Skee+e2_ML+KM=Ku&x=*6D(duDZqhM{m9aWc=e}J9tyPm2@ITLeO`PgIEM<8 zS}nTl6Y}9Hn%wcAgCt)Dy65WSk56dG!k1mCb;N zXZSI}#*=KDQG-f`@SrF??dnIpNY#71Iw%}dYVBc-p%P$_f7uFBf=&hzsNm@JK*!wY}MyQKpinGLXr>z!~iis3=ji}U_fBOExz#LLl6QnKnxHA(J&BHshA_% z)sOmTt9p-D2bnRYg&nL_s46$|DoTMoBd#CySMH!;aE)fP6IL0+r%NP!wIH#s_gt zl^);84H5Qa4I37ObU7K|IWb(;C(X)B^JM6tiIvpC_MF>7q=auTW-zA+plrIYD6*J6K~FG9U(s z0b(GT40KLr+LU!93}EplAqI#6VxSTj2rLJ-@yE@`|1M!<#RN$K&OpfL%9 zff3deB?)>eTaz%$lp`@f3=jjv05L!eR1yRDA{+@ZKnxVdKmlc|tQ#4?jP)ajl~SbY zJ@#d*H7rn*F8P(XP{f@@tmLtl_sgRgAENsN@9Q*AO^1YGBD=jLKl4)G4wZT+(<2 zjDQQrlhWfmL1PjI10$>{N)q(>m#ttW@MH*{uFP3zWN^;x@#h!{qu9Y3!;nD$10w_a zO3)P(YzZ87!1KMYjD4 zR8c5Z4~L)9<2ylP5(Wb$x@`LqJcUyagk($pWvh^c1)dDS)8&!P!85~?(&ITn5h_Az z?O;R0kTM4YLv!>OxjQD^_( zx-w^>k-<5$$Dd;;jADdkI7>*XjR9V52~j@805L!e5Cg;jF+dCu1I1z>uw*cTF<2;d zifsE8sG?A+9u7aH$9ICpBn$>hblLVJcnZ-C2+5X`maPs0*&h8I4R4NdY?Q!r(2F48 zPGzf+L^2=-hyh}N7^o@+I+P?E`n0tqG!qChKnz5|Kw!yW49sAm)G4y9)sGxjO8KGp z_)f4j34?(V))XZPdMaC!Fw2x9F+dCu1H?c{8Bn$~R_~~;F30EZbi56W>=PKbrr_vJ zgLKgUjIV$?VpxPsws54c%&d`*=H&t=Pnl!Af}u!!W39Esx2dQ4j;f05L!e5Cg;jF(4V}Oe_!s#6ZCeaCqid zw#srEBj>7KK2x_QYK&T@tt5BCibvlQAkG&5CgC;xQQXaxEjJ(QLIB+~=pA3ElswgriUyO!dW>4!^e4#N3hk+7Zwl!E7k>txj zGfsliOH8n(Pc$Y(77uPru<;~IsKfv*p@9~{r8!FbYp;1Vgg8?2-*%}jU4ic!F z7$63Sfw&l8@c_pJ8&9%?N(@B90QRmiNKCLXWEue^M~v)BB|NU&2n$#!m5Xc_xoowE z#o!Vy4Eg6*wn_&Muk4e-uRs+==H%0-t>BG>7$63S0b+m{AO?s5Vt^PR28zT0M_i>K zu>le=%B)<_E^^r_5d>h&u&_$FFyvpVvNd2{{94y$9ypA$&p|(er?81cHpBoiKnxHA z#6Wd0(4#uipe%?1Vt^QkiUE#5US%NAun_?xbN#5)O#o3VsbawbDB;48ze2waAsj~8 zv!d0H0#?f8>pi{`Y)!&pV1zYAAw4lb3=jjv05MQp2K>d%TeFOCH%klsU47ZpC_=>^HZ)8!lp4k6wD${`Khr?P8U${3F&d94Q|{9y>i-y!;4?VgZyMh-<3!_)ahj7i-w?Fr>}F04~B9 zBqrDxGA$oS7SB*(f{iEHd{8-zl@-zGEq`lYz(`p-Wn14y=?k~k<2xZ@sMy1Xh9M;} zKnxHA!~ii+Tn4nY&I(Rhi_<$)4o^+N(CdMytFiJv2+WM4MVB5NUWx)82^WFIFa@Vl7@jnRzaw%dU!lKPuowpkF+dCu1H?c!1I^hT)FuXq0b+m{AO@Qs*q!)*$reNsxK-5Z#%2sew6cLD8tol)#?GzCMi2clL|`IW8qus}?> z2;`q%+1g2Zc=N>Jo<12Ejx|MOpSIe=VjLvI05L!eR4W6x&&D7z!N!m&K@tN|F~Fk= zlwX{fVDlSf1zV#mi9uq5jUm%0Ahm+TDyUYSN-qviO~KIXfvA;Keq}4-XwhXK*A(bT zxCrE*U)c%|Z=N_BAX^Hw&11R58ld+d2R*@gl%Leq}3W!<#1#_w>oYaI7hmvNdGZ z;T$S2a3=(giIFy)5DGX1VLMdB||7q*EPB>YTEmg?CxMa_0MP_fj znaHpGi;B#Q1|7;kt^GO@8i$l+#Xx$QFRL-pV2A-?Kxd%I5%e`S_gD3`fQ zEQR68SJ0=eprh)9K5ebKDRmR#)tOeZv+698oqsIZHx?b0zMfWeiV1)ih=_q-scdzb z^eY3j(j|kLVsrh77)k+yB2}%Vicz-yNz)3R1)gFmTZ;jEHGN6gaW5duYxT%W)ssrW znc#9EAqL8x0r_bwHVY&S6e#;96DJepeu=|K**D3yvISDU!Ng#mavGJTyyXLL-w7sR zVhU~9=XFvip7JIJ%7X#B zBCR~eTC`DUYn>I6{}zI`lEO<{EwObK(D)#FkLLt4qnKg4)K}yC+(u$F18-ggG}fC9 zf1WaC#gD)c%2RMOJP`d|3;}h7u-7bsfFxDM0DXQ_WfSV9Lrbg2M9E%@{t0(WrChRn z2*_ms3vN+`E>%e{y&F-~b1bglMZb7TpV%tayXw-9t%Qg{m5LeOTt9jxjX*FDgcZGP ztr=txI24Z7C#Dg3YY&^-f z8C5Gtj1f_K#!$A}!x}TFasw{&!-}Q6M_`q6(8(a+sVO*mJ&+#S=^&tnla*-ErI&!G zqCiKIg(W$8%AFV>28aP-fEXYKhyh|CxeRdm*_l!qp!~2Btxkbg;3shc8XrWdq!?75 z6ON5y2Wt#d1^rgy&r`;%2zY7=j$RK$e-}eQ9Wg9Ik`M#L05L!e5Ce%| zKwu>%AqI#6Vt^R%8PEz6UlVpb~+r^Sf8aZJZXxIU6L$YwWZv5 zf^(SU0UH)38M+M8)AM4?``-2e6DYlub0c_f#1TArW}yicYuL~z2~m!ec?$gUBYtgT zfqa;yA#K=EnhubUW?Z0B{0NEyKNjkPxTZ>v@8pIEd$NWN3qrb_4Dg(qqAFs7Eox3> zA3VLhmU^5q!InDByzqv?T3Zo~A)2LywsgWPY`2tNxa*~vfNe2h5kJ8KYDtIzVt^PR z2I6BtU+Zkf!eFGVq_VB8mK;_}k*fFjPOvozgMks&6eS7zz^#ul@xYTonLvNKlv!Yi ztn_$JMo1Xg!CHkPBVvFUAO@1hKFBK5sl#&5# zNlPm9Cy+}@H-S|d0|k_=vTkHx!B{_XSSdxS-eX_3TEhZ0>5_lh+9W9pJQJAkY=&Zxm|$bbw5^Z@ zF(ulHT9|)Ku!RjaUN2c(1eOfOQ88F3b&6~Umo#1hBjCdEr1bbs(3ph5zzA!Kk_3JJ zWh+<-JQ;$gD{~ea8JshF{5gigD0Z;MFk}$Gz{r5U5_H7`TY}8W0|M7~3=$J;44D!n zF+dCu1H?cDGl1V#%3DO<9+5c21RKfNOB)1C1(poPVK7)Ib&72J6{w<6svZtMrN?)I z#v}{|N_5%wBX|lJC0+6_TZN=7@MH*{E{|*urWu};9?uDiP!UpV2OAoOlsOm}nxntS z-7&!yIV%cJ3=jjvK)wvL;oY7#xVpdXmG4l=o)}0t1K7L9AO=_#!;s1sGO%O_9WIwz z1`DN5k!`Jhil@A0SS*7AO<2}KtvFOLJ$MQ05L!e5Cdh#K>Hk(igB0>7D}BW z+kOSAD3q#aEBGlrz7sSiVK7jl%eEiEQ@|+6!e#O$En6K1x;^?i8r~e^*eF!C8Yv3eE#$~j^JMBT*}3l=~Lmu67kMLtH# zhp_qq=jOCwPwBBhKAett%7~yS21tz$;+iTw#ej83Lr?iKfHNTmi3v7_Ov?wdE&sHp z4Ozql+lCPr-<9M1*H=U%u)I|>7{T3tH$xtW?v}6~bNQbXWli;+pa~Ug*w84|uf&X! z5Cg;jF+dE&#{dVkvewy*MZm~k6w^CYeUVnyUVx~paj{?llyG6lU!mWI5Dug4S@Abd zib9!u0Y9b3cY?+w90p2s+4duN3K)?P1H=F^Kn!RMG-y54K@1QBRtzvJD>AAu21@=W z6E>|RC18L9!>bGg8a5(eq|_<0O=T-sDGy;729>SlF&Q)pVt^PR28zl6FKToO-oii= z5mAi{l)T*NT#YPJPQ*Zo7~sIkuWXg&s(@FC%T{|>3@+iqkbhoftB@xFhgbH=pndEq zV5LmH-s3yLHcaec!=jL`7!2V2At45cfl@KRO9iCj#00Ajf?C8tWie3l5A#~+i3zr{ z=EfauVN78I9D~FJ8$+gTg_OkwM~v)B#TZw>LaAJ2+v3yKTBVXI7AyvraAC+_A=`!! z4x{W@@heb8kvaKdH2gAqTEF58jY&8Rl<2ap!NQ0nUj~}vsXb$Y&6k~uwP*2+JSNz9 zk|k7PfEXYKh=F7=fSqUz5)*6;nMMG~5hJ@~Fvb`yBKmcnX_HWJ3%P z1H=F^Knzp|13juE4a$NTAO?tms2Jb~1~}1BDhBd@NA+eoJ^H))a=gkGNZC-OL3%h0X(>y&=LAKl+$^NXNcuUPe?KKWV?13peID+xLW89Wt*RVXqd28aP-AbAW}-))me z4P{IWlotaH6`1i*#NKCLXWEu;k zhy~S&2{xW&36&Tq9|lDE424D#F9R%I_{u>qjHu$&kLlfXZt{u)n;WlkHK;w5%D~92 zm#bE%NCk&dQ!w;;AZjI*U)d^uHA0Ur`?!3l3Unk~1oF?XY{hJN^Tgqfsg%O-q$!lL zRi$5nzT#p~^r#sa8g)R5M+^`HA|482f{iCxLL~;G zVSq&wCM!yZthUx{5?U8^_DJurd z_y2nRa>eSQh&hU4PL0?ZsL|#y_94*#h=D{hz>Ha&EsP+gOZi4KK(kZRjuWn9vq{_97|z%QWWSQ zDGvrLrd60O=<>*fMv=h)%Qy}^?5vkJfp*kcQ4G+mmg{si<{lW`U~_X*%^E>hYXwek zp9&mv84p>h4ALcodAKmd)gxe7Dfq|4ANWX#mvXC zDSZOrrJNfI_dZC_T-(Af2sm#7VbPg%=_v_V021(P8v}4)6#35)Vc3jm>>?i{(;i*~ zMIqWrtq%fIwa0Tx#|U{DVGA1)gH*X0z$F)hEE@x6(ji4W1jGazPqMbCSn~80(dgYE zEwrUm_HlS>3Wi>9*$vz+wK%~nR2oD;bm_t2l>rN^L<_heAqI#6Vt^Qkj{(1;^jANd zv1so*z{!ii(a2cqGTwf`9$G+M2rRR#mJvVcqS0?fNYJbZcxnocUJpc{7XdY#@Gy!N zU3v(3DGGFulm!E#EJi_tAO?s5j{&@oNJ0z{0|hWZ??x0rTKT)g`z{-uRK+_(l*+*R zn@zElt@LTD{b}G;lmaf8V=DmFp%qUuP=^@~yD9}Mt9t_YPxjg2f z^rJBT##-rzM2N&dsTio}t!TWv_)<+#AvmRGrn!(orhP>E)jJh=x=k;Y&H%n@Lt@2% zQW;p4tz5<}uxw>*__Wm?)++BRH}Wbw)N}gMb=NM(F;I z(4)&$#E-ya6!(LCypog$12)UNjZJxEldMq~FUe%lQC8W;G&i;Gt-P$5put4Jz!Fge zp%^(Bh-rmOx&PoY$C#))gMor3h-CyQ;bBa$CCo4?C?Nx3p=9#mf5jKaMk%mtZT$z% z*|4Z*jndu@))*%N23|!ekgN4(D0^1mEbyc$IC?#hI&EGA)Nq1{QMBmNL%_>doQ{8N zOHu#>`1i*#hz+c$*@8Z`EpTqEt}8=qT(yb_r4`huao?c|(zN!;u(h~wNvetg`Byir z7U`-=x{Q+1zUGi(rqKxz#cjfbLi#8fu(-x(QY{G=mUgNcQ=8GWm~lxg3T!lkFS96I zj>oSI@Xmy`k;ye+txkcV7lEUJYfJxZRqshVF}L)AV=ifs3Om?b5t7ue(QiziNsFM9 zLBLZ}aP)d0`n(9J-MuU3H%yqr_2|+;z)68c2T8sRbkElz8vE`}ooVKy0ir0;F(9G~ zMUl#f0h-ph=K>354AKJ2V?ZXzqB9_hj*w7*n1~fM;TtCF+AI;%rc?%2)S&dVs~`0u zRqsict!A$6Va>6s+k(sVyoypFRkwb`fk}c+1_38c!O`o1^w3TR0kswExU?aIN5;t@ z&{km4kz`>Nm3+N3w4%mKgSBCxkJSoc6Nzk!#DIR+Wvob06t;v6gl}pC2U3SU6A3Xu z48+fX@mh@Oy{-6}$#(=?Iw+Na^`=CtQvmH19SG}}Gy)AD1aqhMcup`27hzj1hliB4@7?#LqP32p%EhP_a_((LSaK9kgC)SV5SUW zebyP`kh)Y1aO3@-@luV2aH3jPXl?d$D78xajIgjYeR&EBRveky878|dk6lhu(5J4w{AAup1cb0H8Jdm)m)x2`n zx7N2_bQxO!Kp77@k}RyklGpw*R)vkfM8hqo<*b~>QX=}30AqdsPXZZj&ru*mUP}}2 zBt5*vjl&&Frxb=KO_A~DzDW72tblEPut3qG%RVL_ssbI!mJHI<1R43bw|y{^$|Px9 zWr4UHPu~7Xpy4~UM=MN3J4@KGAW6}Ul!;2h90$L)u|Ph|(vUXnC`|{*M>8%VDSiY+ zfnQzmL0nU%$9Hl=ggrfM3mX=MbU7K|IW{-<46g-fD^zs_0i>4FHg(k&^)ga5h z!zIdJ+;A(k`V^%(ZOG-Tzfj`Xi@?zcUO@pVpe_Wq<>z}i+`Z^H)?m?@V6mFS1oK0w z69dEmF;Hv<^tH|gk-ijoAq_O5|V(y73c zA$Yo!Szw5+^mtA-umL{?B;C9S0wHjgkQB&3*^q}bgoGF%28zHy=OV5CbJ(pa-r?l4@f> zR9iwt%7^NkA`u&J*plxr+VW50=nfq3$wKJyGZ0uZgbz#BCkz%!og&*>{m5aJS$+tu zr_~9zp<)di8YLmh{L9uR$xPtMkU4>^)1^#41FK4p=LAKlG&!Qi4mLClDa($5l5Yjd zj)C$V5Uw_o@?;>Yx#9Vx7Zq%NsASzX26)@t$-Au#6laAKrePHa)`VJ#`hu7;@?RV# zVuCGBGR2K5i;KXL!HCLWq0}j|jgy4QhpO-j7y%8(lhWfmL1U061_L9kAxa|D`IoJr zCGcbjp03PUU<}TgJ^ma+VH61~M#C!eWYA!ZVaOnWfsp}yCFqI?wgj1#2SiMt`osiV z9-PxCh=IZws86{|TVc*^@xp>mQalE*Nh!H7$M`!iB_C6H0v0qqBA#3%tZkKDP+IY1 zRTNZNY2d~t8B||BBa(1wmCEoDT5gp8r)s%UL&mW#3=R%DSkl= zFkIOr)y9Cp!bwsj2I`zxeyF+5-5V7tCkiVWz|MuF${2|0R%i`ND{w%`(BE_ta`~Hx zOd7RQ>J-_b)Q?)-B&3DI@#K{>0uA2@jWCh0DF%aFusp5cFiNyYA~gDqgfMAgS3km1 znT1BJ$Dd=Eh6)q5u%TfRpp--vBEoSmj6z)7YS9_ zPyf*80bw+jR%e>j^s<~asK%@|HQT@dmgBS(TIpoJ&nLWqxnCK}ty4AG!Bs7_DwF}5 zR(;~S8LK|w2;HsCJx17VEFp$i+EuTaT+p&Bm3s5Nu0zUU;6!@2mPhg!7YUOORiPBA z9BQQ}?KH8)6Y5-2TOSZz8f&n*0wkqh$VBzB6*QS7`($t$q({(nWz8`|VC8g3I}LGX z2ih>vU}3DmhDAuMj>nUEOtA4JTb`(`J7JqE+b)MDJ2SE>kbx9#YN1l&Ppb&E%SUMu z3HR=_7>DQ?(rcQ5+Hqzoo(wn1O?t@3v_@F@-DIOgck?hpRhWU9y#DScr7Y9JmWm{h z0sjsgsC7GeZdLpm5&sb4AAw#nm@ijHFbo`q@*EHx4Jmkso#7y@a5x&cBl{qFk6&~y z0b_$O=#}WQ?MLtwyTEN$K&NpfHLF zmID?>i53xYKn0OP!N*U@D?|L{SQ_|qhNEBUOH?2g;Ms3mI%UOvf(Q@8flOY*kU=dr`GF9 z3E6>Y46mV*0oxi~dI)&c%BTznbasFRj;A!~Ed*_Yo>1xFF`1r>G?V&%1`1_M=8~@T zC{CfM@*C#@2DS3RaF7!g%7jZZ$mt>US zYpV1V1J)f4J>|*3(DY@Jr(0XiV}fn#uq)d?lJdkr0dCk?QVQ5C$g*#8j8IwJ7iG&~;pPaQ(bKX?W9T)Cyzw&_;b!4Sm&a*X?B1BGfKh^l6`H$p z+4L_oO5T3>lCZGy!mN{26$8yxl}#y=iD%DL$_y(Ui(~v5Yn@#>V_>GNq_V9q(#j$T z5OrD18&)8M85UN_7XMdxls$-%6Ap{)S@Cx zpfjUj>e}cS!hCdl>-wbMiCG(GsXX12KC9*QouBCDf=74Vm*;6~Gb#?F?2^IgC}4q^ zU^qzgh&!x6TTx_|ACCJ42&Kn!vYHD$x$J3j?fBXS?4< z3W+{6L`SMNGJri~{?dlU7n`L*B@fub@+x#-NTe+?M%4A`Z_TEL$@! zyMx;P`}U=#BL9ibF~U0BiAk~;F#aSHHbaq%aIgUj6KmLdr(al{>emG^Wj@tS{1=Hg zTUb^k{K^R{_lz8)1!I_Ek*+}GVYmpWaRM+Lq;H?;2w4j3OF69EX@RNI<2%8`BmxE| zSl0-KNfw6W6|y;c48oz$I2l~?;OSCkVKfYt9?yxnAq-C(dLyhMNJ7;hI!v0=7iXAF z{yJuIDGsa&wJP*-!nk`k4$FpxDGSw^&LzjOhQ-Z*B$EMof2(g<12cQ`ImR8b5MfT6 zKkD;f&1Z;bS-r1A3rNlKw_x&&Z2r47+%lrDv?H@j23X6cC$p;*$1LR(Wl=B1*h`BM zbh|R&Ma>q4WWh~NRzk;nJ|Ux=m7#6OKe>z-$ev?0 zA*m<^gg#p3^n%*GWSMSAJr)eaa!qiHfu&8f*|v90u4Qo+G2=~`1u!1e#}DQKmPzW8 zXSGX#QZn>2F)*>#5wO8E!Elhicro1qZAF2lnxog<#==zT@tm4q5-uzty7cfOw8Dn` z(cf@{D$z=&8B)>6Hs!}*pqvb{9XMUBWCKLVhugC%4vGZpjKO(DqB7uKRcco$T5X;R z|Ed+G7;eR3;Gzqa-l5t8mBPZW%`_1HkRW^9hn-q!Ns>1MB5#jK93-sqD&!JLICcFa0j#bd;|ffN7wDIEGv0&KcJ2ik z3RT3rO(2=U;yYQuww@l|$$)Tvg!FhgIukAK z1(eM|`r6CxE_ORISWI)WB{C}8PGiU(x$VIzg3}xi2R!i3QP5cAztkbgUYfiOP;O@W zNtM62`3t4&OfPPZfUmE}Sh#yQ462NtRwpPzB@33f#F8!=Y-pHdC<~xi-Ytsej1&15J*z zMCFZ|Mr>lByL-15qof%#ZKwZku+nKuov@ z)ex4AsgdBZ8t}F!w-|N-BTYI!wM2UADP=xC8eU=t#H->OOPUF2CSeABfYS^5t#Qm_OQEoK@<84E;B0wyPYP= z2dz$#eOx|t1w*d~qE=Fwm5TYcX${Mre!xt$2xOmM*^1fl=83~SeMeAEtreNsxK-|5o(!-%PLc+)zwl?X%`zEHcd;gG-9e>;##=G zl*#~{nR$~IFj6WP+2-=0D;Rn`klbafFvA8#mwjA5RM@)S=t{201yLY-Tqq0&px;Wo zdE&56&j`b@rcfszrb>_EgaDzUMVHnL9*V;4K`%)w1ETe?lt-BCsH6n@x2T3%K5@pD z&rpI#(#N<=2m4iXZ$ms^eW*A7R=22T){CE;aqoh4tT1WJ?Iv=oND~1A+!&)cE0-y% zs2^$^nQMSwD#N#h2I0B!LP0;N@XBtR9K~#S*LivfW+aMsZi@C_i9us zDfB2#2oNTNlso@9%QipwQinuKv$CrSqn zuk3w_wgnQf!YzT}AmubV0tXpA89zk=O_+qhiq`S;Xu%2#lmwkdu{r6+jJfb;7G4?W zM%I-3AjdpxIfjF1;=*pL{c%FV#CxmCr~!~|Q+l*&G~h-ZW`!N!xUEvmbmX)B`9yFt{d zyQTE9$l>WNwgL@*P4z%Bd+PK21+@klG9!AO8%u(4`N&jY{Z6!iq&CUWHhE_{v&=@0 z}an@a{(m0zeDu-vuHy4=S?)>DlZMcH!n>Mi9 z+y*8kY(c|q17tP1VozxhX3&>J-6I!DPDL(oJl2%CkGlrfoTAq8(OR5|x3#6)e-llHE)fi(}&(9QLr>0WxPMM3`nTuk#~7}5jd<+ zNm+)2dDV(nQ3_;exhC}wJQ@55452i#-C5912j2-kMhQ9x1-ul6F$@_5Fo1u59D~FJ z8$+f=f#jwI7uR`iqB?BXtHpHvXsXP>DdlQI{JpWsqB|bElH|)k93S4uF~$U&FFO@%&nkHAH1x7#fELaoPDzb3Sc}fIp2$0D z-0cvLJT3+F7|^-Zdpz5SUq!_6C|sI>m}&@7gS|D09RprLl3A&Qd)D)y%0>DFmNWvv ze2|=FE3Co=u3C8n7Y#N%PW5ZKOcA>fg=}Nmb&^Pbo;*&amw>0H;OO;0dU*4~ydD8H zoQyKg8rB%9$_-dS`Ekeg4@VUgKE!Egh>lckWS|BYcjU5zDPj#bS+wXa&Fq3zk5xQx z=9nkL_GA*(GZiJxqz(&Y8%5jHT1=YN3f!_$XyfR}Jt_uRRDmc?AOj+>H?y-FcCnF* zcKt?Xxmu&o z!+7f-89gRNEII?mX?0l9$56chBH*Lc>}*A$*K?So#nOtEHBeY!FLjmy1IDQt?0z5- zI8yVK9_W^&PWR8rCn@2o%vCEHhS;Qu%Y(yE-a0q)w$6bijewO8lDll>7B^wNJVI~{ z7M)2Ku&I-6V#S{)k5lO&;G`)ydOZ+*UIf%|a%TsxVd6!1H|yy;uYiN6u!<6fPz^d3 zl!OTCEPkJN$rm4~>Jb8|w7LmLPfhyT3kz&>n-23QT{r`Qr$e}lQ7eoVE%$1(8CggM z1X##xX>vC=DY+nL?wb&W;mKQUIU3%YD$uCK4-sbdv^p6t#WZZzx71`@hTsY;I+88= zZmFAz5IH#vHrY%n=Y}%nJ_v_j@XW$HR75*V*w82mQI3>(O2QlmzqYYJKFo4&+OVTE z#jhkaV}7Oh5fnuisqsNvQ>DjuazlhYJ)CM8pAtO?HY^C~ax$=IPEAo2F~Jr!r*aC8 zTdF3;rBU;Uq$4KSNXA~QAnyEVE20stS+0)S(g`nRu?=290V!Y`IjnFvc2hLZ?%aUl zij{`Ukz+#Bt2|9^CNVf}&hDtBHmjt;(pXy<5JZG61NvHL_7s-&BS$D@iPd}jB^I_O zVK7h@aM|`FcnTOLU9_zaI*bx{GAI+MkH{nlz|WK=ik~${X zB4tz5u<~XaSTYzv87!1KMYgs2k;BR>U<4W*PfCyP1dTzO7z~WChA4?pXP2#Z?-B=| z48hZ-%mM?aN{{DcMYbKRRj7m+F=M_XjTS{QhzYhRxx^6E_*bFquIIc*9vYk*`NKjzdLAZQ#k^MSy`fU0FpcgDP;=p$HY>G+Dqd9Oxzz8man~ zg=|xX#zRXQ)^H;pxo&M_3qw4477Mj~u>yz2#iec#X3$G@JYzSHs|qYS6_kU;v<~=(9x^P=$@_*yX$Q|{Ugt>Pjq$4=( zFzZ^~uo54bfF57+tPXVJuizGs$-$)3P76q2Ajqp|H#jACp z2o+XSYY7_~CIL!OSdOV0jtisY!b*;qBtZY4NJN@+AJdsq=U(Mmm?ozz^8`07-a}&1 z)3byzBoDA)0e!r%0yrR2!n#B}`3At6PlkAgN~pv@DHvep&p$*F#=#gjMcp~r0OgG@ zgZ-m~A7oc5qJfzhk>6mGHuY+qBAvAQkt32AE@a=1FTHsssI<8piDp<#L0R;_7aC$@-(kN$SBgYC?cX4P2OT$c$=;>Z2%O)FG$<`l~7?k9a47igyyHGwN=ha5X8>6E<` zAeJgwu)d3cnKvH&G*yEnp(VNC$WxiwZH$?Ba0F~TCfx~?ZGAuEt#lmb?vkzb_)g|K ztTimqk}Q&Gj{LjG$4L1QRzKjpK=KR2p7OCkKAett%7~yS21rdaW)mQ;snSynSOAga z%fQeS%hx3eds|o@1z1JLz(ph_{nFAX@Y%dH%D{~U2G8wg1)JNwDm4OG)jKR}sWj#) z@4yU3I32(%mk)@t*!l{rsrB+9G7SQ4wV2{cUJuf-grWzBtR(=kYfT<#Oa+S zBC8!~vm}4FHPR7Nu;Md-o3~kPJ~A*zscZ|5;VWyMO+Erf%91AA{`#pXlqFVOjf(@z z0&YPVZlZ*}Bw6sb8r&{*(cd-9o)u*RgXdTPAZXV8e@O|0Tw(|7?xJ#qNKF6X`DZHf zcQJb4G$4tP0g-nsgGc4u1nt&pS(;VU9e~RT?O>QAX_BY#Jnf5SE}TB1j@67)Uh140 z;V@Zkl?`R2;+KSiL*Vd{p zb*aIaCHIA4nKw&sURPf;)@gl_E({Swea1`1As4i!xU>p0xnyCozGuP|I{+ z_SpG(7#C_P-^2`+nExu5yeD#uFS}9+9W#^yPfDF4+n7)BQpc34zRM3}OAdpub5 zhl?F-H^=yQXIo)d>tSR^GGqN-nTl0;d8$Upjkv(^7cE7i|nLNcuM%Ynx; zPcgy9lWdYunK@ZuHc4;uYz(t)a(!+iYMQc5<7~5n!0VkNeAidFi(S}w@bv@>vH0mB zZ0(9AqAd4Ia^dHujIr5>T7)^E4Q#FR&9;^sQEoih$Ou zQ@DNEn#!o86xdKSUny|p!Fto@msnC!&wfe8^$B)a{Q)!vrWCT#VG<65jFZ8&H zXc#K<;ybw^3{M>TP}pS*5i-;~<2ceoo7+mHfRO}iPG6jn1hWqk6RbW!oRwzbc4p>4 zrLth90#b2ef>j5RqorJ*#oUnPz&CgIb2^!g%of6Il4TJIEMhif1rBH$cijO#VO`3V z>Ax*eR*P-e@LgSzZZB;n!CP}{6_SnV7z{Fl$q5_du0W+&h8hh6bGXT%BJ?bi;M^mw z8=G5Kh=yf438j^(Df44t9-p$1q|NOpH^&aK2!iF(zNLS<>sjY)gXW>`eGp2cbT?SA zOnvi~JhK_^G0TA0xZzNu7fgusv3v=Uc8(YgHKvl`K;DvY>_t!%t)IKO(9%@x@tn9+ zgo}LRPRRe|Uk-Gf{xFrXusc~=K18ZxEnw?epOiCk^b)nu)N`p(a)XIKWSF2GE)7x* z6b`eFr_{Jv;#kW>@9}ceU|XVPvYs}PLA|)Z2$hVHu?}7=&x7H>3&qhGil;D${fkj8CM3SMIb!3cripM^o%}~PE%Vrz zl6OaG#x=9x4sK!CGOhA&0e53gr*Xk?qVMG_(wN=dr7tXGw0L1iicZ41KbR;xOP73aI3FbWe9Td!RNqwre&K>ZA?H%XI{RQ_whY-;Y1VsllbWb{Nc*A^Ltf4utII&?&=`Z4E=fDl;YhU5r*I*oKLaN)Cf; z*dAL;MfVO)O}k#*oQbk*ZI)%L`cZhhS?_PtG`YsG5=-M2a5B=BUL zn+D6^-PV!G0GR`DscgggUt4F~RbkX75lA)# z;)a{bNG;RO3c^yhES4@+^}-1%6m(74X#|d zWOEkXfNFih{e$R&w?--?IBrtbGQ?!r@^P2RDsR2%EMvJM{wf?cbhyL#dQLy*u~eP2 zpbO7so4qayGd1aP_3t1YXO-YV=D2Qh55huowee;;CL{`n4yOw86Y^n}iD^UNGMJ}x z^&=<>q|9Lj5Z6@c@tvRzm)e%`Dbb}z!^5f6xPP~=tvy`+8VCEfnsuo*I_#o0( zFrZ+_c9W5E+&4NJAKa-fRWqoCr_2Z(4MT3qkb~3LOb|9ygbbv`@;n$0yd*gq?htVh zA{;sx`h>}24MWIIEOZXT0YC%}lpe(i+A!fzda&ctmOG#AIQ%l%yFuqv&BXbs(ajG> z_-QLZFyN8rcXZh3^!Xy&LO#??^d2QQpQ+sGD<*DmfP{$_E4MMrttzI*=4?{%L7FSC z_i1v**0J`ltS8@zSl8*Fdau28um&%P@%5+LR2|+wER|r6BNSnb)!S$hV88?VGP^`( zBhO=olNL7%?p{Um%P-b%8u;f%F39xH(h7NbV)KMsPDw;qpac#+u+!zmB4XYq+~i>< z%$+r1Fs|dmFJjuF0WDd43%Z;7+h2qpSHG!>XQwVt6k#^ksFDSo3Nf+ zu22E}HLW8G&_>Z^yr(xsfuh9_T90){XZhtc_j`3HTcZ~Lp60H?5nn?7V0{$7C;08} zR<+{{&TRO{!aq_DtZ!e6S9XJEcSO+^!9jlKE-5Hm9p{@Rc&hpMB24eY9cwxNyWqiN zh`x7hz9E&7xec7`U_xNi;r7Tzhzt=>;sjtgNZ&xx5nP4v6`-8UhXWATRO#`Z`avBo zbprZq*dDjlVBn&ITekkOOx^l_6~cxH3>P%*;_y5FURUp(F-Tg;BqTRw z$cmGnzGhNq9@$WJ0N%)u3B!{zM0}UpBpe37^@k;oEygRPnL01N6Ep_lTfra@_G-E4 z{c|;^&i%BNb*ObeA!RUEa#MW4q?NdoeKCfTuDSdXJx*2Fu{x_L1qI zDYw2`0)#S5+LY z2PeQI`v;4y@vm+@EbK^L5um&oY}A@5@j&b?5j_tcJ%B=Anb}d8O$xJ!aDLm!Sju7Y zKY3#lo$|S$EKr3z^Z1n%=Ja!q3*#zJFN=|dU4S4#4!L#BGLF2TH1^k2pfmY^7?+S9 zFqMBHNZO`h@%Ml%*Mr=!y&d^=PdTBeKIFUoE&pga1Ekgm5mM`3atsI3#JG{*_=i1y zEFrz*$A0qJVR&j$2=utQp~w2mandP_Gibv^j?5c?(=sGkq*ml>67t3eLb4h>RZ?Y}rWS_Gl1;E#sCgY?fo2C*lxHh%+(576zMtj|R~u zBxz%k3|=QZFmYzF&#vrsaujSIKS1%}?CNA?2aZ`ncH1_`2fQ{r;TX``LhSE%Z{2!z zUwyvr*F7VJfA_1p_y2wW_oF`Uttte}9g{k(u9auI#r3Blg+eQJEq;Iz^;1JY*a0Fp zVp#)BE=0CQ5Ii|m(hiAC!_ z=dST+F!+RI_UPQMwOrDIvJc+vsany}-&x$T#1spgo0_a+s zi|M(nE+77mIFgsF*K+QfU>0K&-5Aa5vGB4}d0 z5geO%C@f$MGZg9sCGC(hl>EtOyJMdG#bF))J|4o+#Co%anUbs~whL%5$phcjQ%By8 z)ySDdsU!_$3nE2rv+>N-loI-dMv5+41d)wU3K+OGB}#;#NHwvAkWjD{O4=c@jGz3# z{&F} z$+aN3)*MYfeZYLHEz6?%8uq8J=@b)iYAe-g^TUTXqT%gR2z1g~&(yUD^%|ZIlvVZ~ z0`D^I3vdFre{x?`KgE@f_RIhL!W=6}S2Pa$yx%_tj=y^Z9=fGT$0#SF`Y$5vSF3I) zl4+pLhLl~lb}d`CBRXB<9RDf&*h2dFm0(c9>^DNn22AZS*$-xAnSI5yn_aK7zmb}# zaM@bNwp;uL1r0awQFH%I=o*2p-K$ccc~SbWvvKy}=O**f@4c*&ZI?*r+UPFqUvIA& zcB!YkSbGz1(B%TbEl;`(IXmkkBa18e`Jx)8O9V}g!EB-j z67!Q+jxSrygLtS-Q;j!km?_C>V!MDQ)4iAy?7bQ0m;sbZvQWYp@>QFy^6b=E@>9zy z6YZc`%I#t)HC7T>IlHAQ%`B`L?pvYc79=#KN`$cT>kCQYRw!wQ#Ng8}6Bl%3%vWzv zylf5IoTlQEtm}m&HG;Ofw)>bMO>WOh34u~c${0<(m1c#MV${Q)MbA2Umj{;iMfPpRW5(3Q-*f8L9l~*>Yrgt@g zVK=)p;Ub6Cw65wp(6AYUu_&uU?BeA{>!y)fOHA?dF2U^lH(`GxV2bK{s&MYx>8Mnc z?vln?hB!RE)-Y4@Y#B(F zycr^Kx;C#@Y3~W8OvX;@nrUyuh9SThl1pa0vQW4YU|5sEJ7Ab`H8QRjO&mCcT#dkW z)`2Q|4$$0`2w~;d7joBTf9%sQ!)z;_Vq+DZob_ev5EY3c7~y5>)h`lPN?0nDq>RyE zYY9j_3uPN&2x<^qZP~?cFO`kiwIk8p2xvCjI-GL0wX@Nl;$^FOQEzV#^>wy)Q5dt~ zZ))2zwL~DmJ1Hd)h8wrrdK^U1h2rfJOB13)QP?F27!3wC@$}q8;tM)$`D9vwB7bBy zirI16tiz$Kda#OjWf^tbp|-378LO#I`J&>oRf)2=KUf4ss8*#}xMmUe~!hMK9c`T!>+=-Md2HD2q2^+LhPKVDS7@F%Kg~pAkR-G_7IjyRh{zE$i$?2Av>G=$vVY;*>Tgr8$)Ta7$%r&jfg zgn27Fm(^LJfolY#NycTX`t`TXDZ>na)-@9y$`-gB2pMWX@heuplF|m64Bi35Oa{Vz z;9^E--eszcAsgHxXl?=XBBszU)>~ zsqX)wu(<5<2~qj$(?Sj%W@nRgt376;tBV%<5tuHF`@thlDJn2OXF{rnV<~=`#NXm3 zQF@vCGMF5NF5cnLii!s#+lhUDTezq^*EQ3GZId!nl`~M*r3dW7j2j|+X&LJEBtN&1 zQroWUuVxpf-HT&(CAfb=x=wX1p-#Z!>*CcYw09!RO0MPpt5Y?6-YMo?x81^flU}2g zJ{M^smHK*=Zn#w4HrYYb;HhL22#M#8#5XBExOKm4TEty+kXjE|rfh&whFqQc8E>DF zkws=@LN`>y22}0Aom|5Lp@lW_7OpHr!K6{@C)fZhI@=Wz=u}p;BibMgL{& z^*5gDbn^bc!LP!z3wRrlCaD2Npy@~9+~)V;fPE>=wp!2VIU~oeX7B?rJFXYaoJBFw z1XK~IVz2?4n-U?c{JdVsYs2q_Oq=Z<_`$PA^P`WVM&>upP*`(A;m^E3O%3z)M)J~= z<(4OKUfZR&-f|vs+ML(w?0077AYC$2#%Qov&jPbSK|J=*P&;@b(HFtR+G&Cf67N2OcZ?iK)W}(A;y*Z=_3Yrx?W3i< z4)FShQ;RBn5EW}Odvb4<=vrI>f37f-t=cVt5&sw~&{Z`y2L$cD=>ziQ0L&O~!%6obNIhmvln#6Y5bP~+y5Ks z_c|i7-N3Aey|+^8sK(0UNIh@(9FYI=I0oZO1-JyK7U(9svmpnn6z6;`fJRHk@Z=hK zgI}4TiSrbt>$&Pz1(4f=K|)`6Kn)+beP!w9`;{SrP%=`+81j|OYGQpr3^Ua%q%?t1 zvDRb=bP<8ZD%=My6440sB2YyHKyyx@;yrZ;J>qvIjwEr zIo;@6aM^lOWXyRiO{+J8_B(=_ERv5an`XWiv`UVqkE00DAr)VZH*M*RwPd8BY{hO9 z_6Do1GG?_Nc>+a);1Z!&B$}HZ(w^-x(+lod0v%PHl8^MXdTiy)8Y8l%mHO1LV5nS( zM15q6GTmy!;uO|yY2jA%>QQu$8vcmX@*O;3z0#zv#43GdH9p5mo|Y6cB|wY~w0Sl~`wcAAWs(jj z8g;UD_+h`T6dZ9lj$2reNMq*BrehOh67(jQ*S}A z89S3lcU;8o!L%}FEZI2~e;BOV_E=${`>JAGX>J^$mr+;KWW;5wG2?a>ita-@4-Hi3 z_TTK37NpwGR;)zp1IVaWK5isJRPM zw%&FhYB}0H!wt4-X*%f!7^MtZS_?@ga*>hJf}bCm4AUioCe|CVtO3T5Od*?KrVfl! zgV$f@-n?|Se@&{++C?qAcc|j{+DQGuy{ViXy$Al>p1S_*%|f)wvkP}9$47Dl=nFR} zdFS&Jb@L#KOvadCubK8n8p;+#DiAW%P#H5#1I_Sp`$eAI0pq#Ru!ZTsMNe$p`?45v z(Jg@HrbO^X8d*0hOOOjuK_lF;(BnNrb?VwzD*as4F+lTo<57(__@LsSxGahO2KR%u z-&IvMvKaiV%S(TF#1)^2Cb(sU3**}k>2&{QTg?$TVCaO85Yw&TgFCLl+7d~tvVCFb zWcCZsb_@UF1r#V9zaXiHt1>nyrM?j@s{4-_HJY>8rj7nNQQba7cmHOr{;%j@XIl-M z;0%Gyr4i0HQg=xlbUV?pUDBFZW=pN`)zg- zT`8oilkFS@xenKl1@41Q=J&RHlIkB*r?$6p`&oVCvNh5Cy4+md_3OA=$2 z10n;Ya0gdoI|)gEh|)*cWt#yyz0KG(u@0JuyP!#%Ol!Rd3QUmO&rA?xX|=?;Rd;95 zpgQ@Pn+Uh2AxBY(feh|`X<%Cc(*j*!Tf>6s!Z|yhR?lQATRP}OQ;F_{S$7dOf8@CI z>3LkjyhLoy;3ly77pUPhjgr&;H0rn7_5JW=z8|*me(vR9xKU$~a0}xs$$P5?GfWstTSJVBA5iSYMSvv zhM8h8#}VpsBCIJM=pq6j+%OKB32;QGm}rAQRn{0Zg*@RdW=j5+F`9f3%5*SS3tE5r z`q;I>XT1`$ts5KL5Yy!U;PZ^S<#m`*{||RKUFW_Hmzty$%NDj@PNG_W$<_o*7X*uh z?@`Hv7y@+0IYrqf%tC=sNrPuf8FI9NF1HtoR5ge$@oh7=3wpfTE@W_Zn%$vYNDWJ_ zz0icdM`3N@px@o;8CYw#p4AJ<;IRY|*$x-H*o3<+Mgj-jTc{HjWS%vGO? z?O+#a$!F^8d5e_12^NtZ)3$&ed8^nok#vAP+9+J`Vc!Vbpc-;8#0;6>$5f_af`HH~ zO$N+@IMxD&X2oeEOA`cUaZtqvc+m^4IWeg4ms}kRk~e~q zSwl=C42ouTsx~rin*Ah<8kIHp3N4$PnoA64xTJ!wfDA)GbW3!kZl9h+gCSA?kD{;WY#V;b@o} zA!~^7LYa<+-SZ1(uQhY(g4(j&Jlgf~vu1gSJ*@_)U&M!z*}*R_Tj-gK3h527gK8yX z9y~e>D3_#+@ns9m1_7yOab_B}JGhft($&D305->swq#-jAgOb zE13@v>0GHWXv@r!1&8(u#t_w){4fw9na9pB9ULEmHg024!em04vdz#3Ie$rI6wRT^ z&H)7%B*I#?1*H*m;ej+W5+S+9vPA}bWzQ^@lt!2nSC_tECgU!Z#GB0zA=Z_#k`N($xo8^2WF}?J+4%(Xw#1eOhhvrnN`GcZRSX z5;J7{w2faodou^S{CcJh-D}vbWOfS)6=Ex8?8xdGRJwtPoAZ z=P2KV8Asr!GkrV%gVE|$I%Zv3_}d8gC^~@`vMf8qc6;Rxy52C_IJUkeZ-d^Q20hInZ-vn_u2x%D{8b;1&A}qg0m>yQV|>{R z1$?B0nHJB;(glP}fi5pqvPMJ;h@E@Z)Da;2p%9UTjc%j8Eha7p&&1_SExyy~} z{rz>O?<$4@IY_)qYpR5tSGF7I4;7i*#&c5scX-urA+C1!ji z<1dxOu7@wSgf+psP*dpy@1i8|dNp3>?pir`g4;m7x{Q8WVQerbN@ThJx(j*=>updmnY;d5FQ?tat_+pPGTTfsHFb4qH?XP*B#&g+^kYZe7;N+N>Zo1oFL}jR35PhX^md4;$!XwG zvqx}F)K~QlvqpN~(8lO0XE2slpv}IyF$2ZxZ(e85kyvhx7uCa9Hs-*%(?Q_-K)${PmAHbbY6A%b#;wWNj(y)dLx zaW)i~OhZTza#5+~kF0`Uj!|BS$W}P=lbloqd>p5ww|vwc8mVDvy%!to)puhmjz&6M zwvGnfW`q)aE>NovKN+e1J7JOL0C`F1geD@#_|oQOGa%=Y5@sq{j1Q(|AzKTa5;6q3 zyhNai9GxIg#X1HEHzk50)*G?IW`GfryP6#?yg=I!szdMYsimL7vN2R3FI$sk!4xYJ ze+P?%mrg4+_;#!uIPt2%IQ&J=mTa@!nNx=5Tfp2qgq;U@+4^U=s>-;_4ZDP~tVOd8 z#n)8J7UQ)Hh-d>t1|M8u=2C;wIdYYQ!+&TTTE}Xr?$*eNdK{uV1BMShwf_>t1(&Vr z?;${^FGr#tE@W3o^&E~OylfpkBN6p&u2HoK91vX&$j)BOu%)(xPFZCD_8P+kfU`Io z{(ZE3Jkp%BcyO^L*8!fqGGJ~64cA_gts9Y6?r$;_BcO|!n)C+nzMc%5!8#e;sKq1O zh-*zXP)ILeH^8p}&@y<*)CL>sh;S(wKC&E}?gtD?v;)VO9C(zT3TkF5IuvRuGyBUd zsI5~)6Pg*nP*`j=vW_jxw;!;Bkb1eQeIf$AC$&BHfx$MZD#ioGNnmdcIfr43pBiLp ziCn_T3YSkrj8+a>}H zGKIRC#Z3qe=H4Aw^x~zTHAt$npSlJoNXu@ZOzyq?lr=JV;gO#D)7NVI6tj@8e<$^SwjUrAi_+^Gec|}z_T#8(k5}q($D{c zlh(JCW3If91u@ZI;l+R>bH*TpN@u_bugC9mc-u=o$Y)!dk`+#{${g2IxYeQgZH;e2 zDFS;8NnWMG7(K6 ziBVQr6BB4+kA2X(Ms{zuMd(1tW*RG5oa#lo#G+n$*A#2kFO5KiQO7I5abyI{=POHX z;PG~WL|DP~pmW)J9?L?*1`mGVw>?mo3}gkb@Ml*Qq$?D4M466!RcsoA;T`-=Hu_II ziX_ID$j0#2!`ncfQtA7(LtZ%C+B_e-V!^aVAVvck%;SFZ8v6g|<_^_r&Fqd{+V50N zqs8SqEVFHE{NTMi4`I3u)>t@0F6#Ep#%l-&0*0{>vW6HhM7B1Fx89aGv!C6Fdi}4t zWrx(T_I4GSotsp2=dJEDfb!(^`ExkqvKdLy0C+a1;X`R-YN0VSG1Gn%T-!w4KU!e+aomAW*X72Js{bQP z-*fpY>z+lm!06XN@})>>NMrPf(fBBa175bOAJ@6!G0Xm>8XR{9t!Fc|ePAUEeTLb7 zhOtFPynEy7(`Q2N$(#VeUjV(R*LHEJt$>kC4zDn9z(HU?!L%yZtsGA^2HaUT#=vX- z2uAg|0Gvm>74FKQiLxv?4Qqc*>xU~Wx2R2{w3Xk;#F5)q;E*#@&@`}IN;nu_$^4q{gg=6w$3S4B=8J;(OM@Wk@AdDJrI%NV=?EFa3 zQDjQ?HmxqEZCo>_>#;_0$;x^$b_Gn%8>142c@7Cv%=l5ghzrx&WsS$8R+nA6$4lsw z9zTnHSWj~;Ds_GZGQlVl`EFU=jcq!v))NE;vxQ>wY^fJltXSD9YPuC2cXKPno%){Z z!=ndeJRys#(WI!e(qm{y?b*_|W#pY7b#9!W>#2=}l}e!lLBg|YFhH}#Yy52aiXkDc z641h9G8$5;n`0e;#Vrwpl-vkeLrf;5lVG5v&;-D&eYdwEK7MJe9y;TO4R3bC68d8O z5oVIxmD(AC{#LkUJ=-~qsrQ#Um+@?CFEZq70`wUfy&SWD|3NDaMwxq#E$^$(&a2Un z<9ytgtzTQVFj4{~c9{;fm13r;Wk^aZV11pC$va?mx$L-Jat?Aff(A8E#ee~Xn-akg zn^%5)t+%ZxK}_w{a!*qst8(d!G}dJHgNC_*vrc!h^bh=y3kh{z>BAQ7@ z4K<1n60NgV*OYee{YCP#S9&>Haa|L)^*K!PS8jOC; zANndTTMae~=&N`t2dSqC$VAuZG?MMT?BdR43yO|fM=A!6KRk&p<>50pO*-w5d+G5+ ztLq?g0sR>#LE^b;_q>L9>^dWOCDV=ZHXfVYxz2!jsQv`yHkVJtqE^j55DH~1YiB#x zL^C|#Oj>Utr7HUX0;N!_d_8ogL79wTo3=2M8(|X&Fx=P7+qG}n1(eXRMf-9A)9*2U_vDmVawHDytXHFcBTP3+WQY@?f6Y|jERp<(o#>R~9TDv7>rL95l}To%Ve)P=em zzsIrQtrc*4^3b0@SJWfN)*SCSx8`EwADJcfXsh_XGMEbOQi1D)ic`~xZ#pJCJ=zSh z3F#BrF;S0`HpR=!F`#lzaxTCCrQOgxCYDoRL3fDIBT_puk%O&CtAS=Z&`rdwfW5pC zwC$#iEJb2)7Fi^W(`KARD$E6>?wNKa907}f7vW8!isU?PF!tveBs6|o5w@6ZeIe2< zAjTd7_S&`hezymWLUrZR;%h%tLjz;x_ik(uTY8XFO8tbR3sO~>Vbw7!lVh32&w-`-%%1ntRV$7PQ&fbsde?24NUNGXF>tokfAn!u(YT%W zkE^4fdqv92R>`?=lb^TwmFB(s)^497)~h%a<4fD8=kDP#)|rhSMNk(HhvR#0WA6!! z{4GGwD!~BD3fK54GEr6gSHdHF${36WKRcTFChs)_1R+;$gsdUP3*FUPjKD0G>~6oy zzRe+b+(5VW2R9lrBln9M{?F)Vylf@&NwR$WhjH18{n^pCW_-lfL8=dp?gqdoV6C^dm$dDfBa@h(o-}fp=`-z&~toem4DS0&FHR&P)gL=y}7#fI)o#jtJh4$Pug!C}P|jWO}&DveG)z zM{tw!h;9=;FnVJ%(9wxDN3^)GwDFbcO@dwG>osQ6thy{yDw{;6hl`TT<2l148K=|0 zn3(iDb6EXI1}aUasW-gaee_dGep)nRQH& zE`4-cjsEzWw9}G&Ov@_us}HH@btl)A9J-B~)OTJup|(iqP&Pw>>VWv-mskw-wtsmh)WYjdx<$h5^=-gK!YQZT`@92@UAEr*26MtLGxkQpvW=S- zv};=MkuuD9Az>zWzR1GcS*>8m5$Ga9b2AAti8z?Nt@Wu)$*qQQ2;tT+Yjc9jRu?4s z2oR%ZYn%ZialukMY`}Pf@Fv6y4Q@d=qvo|rx@+iQBxFgeD<@>C%1PFNs%Qs~lMeTp zgZ69SV}R)BGe?k9_;GK~Y&*)K5>G-3bLzGM9z`C|8*sZXu$#ic5mV#LdJTT&XbChX zL9Q|ru!y+$hMYQlRxTsvr!^RI)4o~}iTWB9i>mDsJgx;kfuRyFg%acE(jzQD>PcvQ zmN^b5djO#<1E!g90}NC3fPtvuNCBLt3^lMP82oI|*tmrwL!!ieioSpu3$?}%%N;YKxZ+P$BfJ71LB^XZ)6$Aj74pPRahu4z1MIY_v6^L|# zpT;i_v0)}CYZ;RZG0_MzSI{ns6=fmUK!4Um#fGkAW)nE;JR8!#Lt4Y-8&Ti5e|S`b zM7~BqPbcFBn1qarV#{aHEG!`ppdmFVZQxlRsVP{fu^!-ANtfq7jRXRQ2?_jm@N0*M zGe(|6+=AbTkptu!0>Txbk8b#qq0G=WZ2eE z-u%dgrd-E7mL4HAg(HQr$8C}`4azXXfS22N0V7J2&kHf#2qRE4v)X!)auqs&hk810 zm`U`)*en@__<@DzPzE&$V2KBGkEUCJlX%WYGcFi#nvx{s0Yur{=~#|&a%wDBD1Jdh zA(%F1u~2s_z%wCJU=DezdrCup())Fs3NdsA5ZoNX<%!Nv$?JS|PVf~(!sL;>E^r=? zft^vbV3+v&%*EJO)t^*5GO9*jYFL@9J?o%G$9-hd)h&zgm4P~%uL{ScRG1g^qBF}F zg3!qYKXpj;PY60=Kjb^u937be;77n>%h4@lsA9!xsl2}+^#Qh_SE*ahrN zoRBkj3+a*#O^%>QWsDcXxoJD(A*?lf#ZIF1qp)es;(5CNZJiYe)mC0tQ+ z?goG2Str^}fYo)hUEK;kzXh8R|xC&N`# zGVdFpw|)%^+#a6SHp5NPOpg3!V%iAkYJv15@RHFC_nMmk^qLYa7_qxo#k^f{h-m~I zT%9iAUR-+bTeO>}F5nQi7YKS+l4-*H_!xwpW?piH&QmtAgcH~iSC$d9-FDb$brYVf za*XjQJDQ^BilGMY#a=HKIT&AL5Np4COCP&C4#F7MJtaA-wkdO>6pT|DOJHavmvha^ zN#lM~WFK13sdWiVJ(+C~wGzeq8wP0u)4eNkty6LV!~=r!HFkKqv}*_=w`(oa2tECc1zoQ-mM;yTOl;YX}JJKi-HK@)a>- zAyV2vlfh~~m8#L7AG3WZH2dag-jK^0*@@zF(PC{@4aCTkpVx-Omx# z3O#lBD=5O_k&zeR+ZtEjr#=Xo!EaoR$-VF5T8S)xhMKC&RvVDK_`1YGZLLr;=Oyxb z@f<|7LSB|UrV#NOgyK0N@K=)*V7yQVv%|c37-}Cx$LU6B_Uv~mrHQphPAhfdBge32 z5D4osFI(Sq6YltZ2ygWHkH^-@*$Q$a=5TS@YX0}d=O*g1+pWMu_h7#6Ud(p-0BTLV zHH*DrCO6AWbwDx}BavUOmntXDPhus#`w94C(LaZ^2pkK55lNVLV5-pmljm)J_3E7Ap}=}Gt@f+ReZ9v z41vREeb5~rCegC@xZ5!pljrLoq)9Sw*Z`8KQsbnJWim#otxYj%!3yC*Rzt&hY|AQs zQh1knvr9ROjeXjKl8YoNuv?0x8DKe8FrZJ{U20iQ=!?;|B3AY5E^=nkMU z_RwIkyJ%3;C`)1K*Th5o$D9yfVjVd)dmH z{J3oG;Q^q?_TQXdli0wG3-zTNv3&Bzb){}~T+o2is404Ujq*L98wOkYB~;Bet(jCW+3Xu*4??FGr( z@nXN7xk&xmJDdWt*8*LSUwB;I^4O-5x1tp!KWC$9c3utw=4*Y~l%Wo4%rV}q^9&S& zUso2gHbM$RC39XPuNTkZCbT@cVm}0~>^YELgCLzpGAxoNsj}8dXoMlCf!XCJpKAp| zv$&|#L|Y?wM{2YM`d_~T3xz+jqT~+`shHnS>3`@4@O;7bhMdj76EeOz=Gr%)i+}V6 zoIyXs>ed%I%tUwPJE@vlFr+DDx#DUx5_(5YNR+&;eiNL3}6$legI%j9DnXH9p>u+ z(tHFj!P9X|oWIH5_M#HQ6Y-#-)hn5jVzsu08J^7+_NOpY`zZEB=z-X&AZIg%+xa{O z=5?rO4HRZuH#>a)#vZcx9uNz7X_reF#DH&0od`Y#g@Xe#Q&ZW4MKjgeL0MJAO6{wJ z*kEVl3A;ZNe07p75*GUjls3bLW4J8Z6P_MkDtt6+A2_H&fm6=?!GozaSrsX}q_9>3 z1Zg6+(DFW0=F??VfRP}FOz-HDp2T7GSg}l_vvBt+yzKF%LpMs{%Vo}j29xI6~<<7K^uJZd#wO8 zBp`?&x5=PuqU}pcu{Y`p_x$(LH&*Zojl*Cj%gIQcdFN3>&NetBhhT_{gda6GD2+wJ zbc4%`d&SvSqp7H}Y(h$PKz#AbER<=5lDSriT87iLlzkcL zi7#r~IJTXi!+w)-;Fayk_-yat!!_fW6L8zZ>fO=9*Ffw#qW1;@0sU z{1z>A8eqwrphGO0#CJ=>8=={>Gq9CxYtv)GeePtW?yz%bkGacM74RsGe(;FHqvBzLCb5 zeE|k5U2r~-%%fg;HF^k@+y*!?n^%V-d@hS%@JDbj2C)rGEMm4B-9=q{tL&mBb_Af<7Wwi%>g)~GkN#Fm}Vc!lL+Cb!^xV+eYgQ`!q3f4dM2mdy#hb3R|$P-gas7w<*&iBt⁣ z99)cg#jPg>kTCdZO6Nw1sVrMlKmyM=(UxnWwno646zp@`xdYVY{3+Cncd=0wiGp9C zN&`Xg8ibj^Umt9xNn#D=7%!CH+apI62zpo1?29zGaF7dQTWyv`_)X6(DkjV6A3_EF zmoDqm;Ar1ooxWKmr#BJ!?6Or;)%e;N8H=ebn}Avq5MTT<3uPLCAuO3|lxQSwG*Wj- zWQ8@uq*+tP&PY{3wp4|r4fSaZ`foa_PE;`lINWfIxE2^M8A#R64GEuZ)t+G~$K)}?C2dG#iRI;QD_XKnKIPEaA+?rV`gDwW)5(l1N z4e28XB0#gt#hhNE=UETcUh%sO5J{Iz!f(r6{nBms09c5z~@3jvlt?FxR4C z=Hy~P_N<(z;THiW2HHf8QhPgzuCR(H=*~moPIJ>6WFxj5?hO`)SN2ptcm#_)6) zoRvTz@_O+OHL4;}NLM(RkJy&+cyjzrX9AJx4A;P|&8xZm+mRc` z*jgNX^xyl`McA`zRRCN0ZlGs&BUP4}Qcai7%1hZw0TUR+852R7rM;^$;W$) zmZ+bPT1L%{6q;`r<9PcWnabCTEu1dmZZSizoYziB8!7gxAa4Lc)G>idv`LzaJR5bHQGr5x5)p-i*4o%oU zf#ObxD@{&|)GKBR5y!ig-To^G1|^+r%i3LKb(bRH!%)7Z2@0-n@Rnn5xdd#0h{tUEViSsCse z4{4!Z^q5-P85BC*r!ct=)ZzF6b zy~8io>ec7*5~x4G%JM6I4|cLb<4wYONsJ-7Y?fF`fWNo|73 zTCuz(8=B~#NM%ee#6%n9W*1k}wEvpuofOD5Fq5^C3A2`ElXaT03|@sh_g7t&`sHlI z*MdBN+iF}SY%g2?{8g4wV=K!`?yT4lrMZEPrl#dsYU+3xI4|UFgCMvrf^0q&U@CBz z9x7IMt5?nGDI9a%G!u|F;%s({LFoby|65G)Ux)T{L5yt%a4E z2C=uIxwclXL+tCGJ-yG^8soE5?r|d~a?f(KYTy8&ddnJH1cu*J7bHEL?E91*Wb@44 z6L`5|3%tj=*22`CZ0zl_?)=?2TYc2gxR*dBn${>0Bs#)iZgA4G3KFgMJTphvcoU61 zPI?f;sC(9vv`T=vc+fU;SM0c_Nx?$Y8XeIAfCANqxjUu{8ZA(ie1(vE? z83l47dC0YZGejlQaM>C(x`Kk;CR>NZ85<-Netb+A-KNKx*``w2lRie%YG9Yv_;mtJE(Vw*g~5-IYX}Hz z+PM)!z5wHeaO`S@MNL&+`>5x>0_HX31Vcq-BU^`}G0VBR#C{fM{1z?>)quS^eLPJ^ zgJ&px^+THmeE8v!%2(OjvKs;fF>1bSmL%^oSt>juc9Ny_$#Dvmby+MndA$S!QZ1@!%GNb!l@vWAo%|H2D zV0^P$8+_r}n@8UDV%|(v8fR==Bs{)I1tut4;8~|eAHTA>h1XO0q5DaP74c#!E$ zqE;rsRrDm*<=@DtIf&KIqB$pMV8)}G_VP)@3M00B82V3NzMAun<~qse{L>_Mt2ypg zrfFQ+3-37Sbz0b0$AAb=*#O7#*|q1An(wwM>y%M=G#0lSkH2mSuVgB$fC6Ekpqpo9 z<8>{&4qw(V#te|$joetnIOb#AL`s4@UM8ypL`!C_z>QHf!BE=y`a3>rOUHS9!*BU! zpgW#%dH#dkv&l22LZj_n224kAKqjNcFDounV-1=)*7D?md%lR#-Yi$&8nQM6I?LGX zoycS&La|AIB10?(q@Zk?SnC;5#^4odL^Q!Rh=vSS7w$<2GXPsQg9KH;NKm$kkZQ10 z2E@nAguyf!JH=|D;90?(V>jGojyW4A9id@+g?C1codJ7I{6H~OMk5VHqjWO_X2E`> zze<4)W+zzUh_9M0zox6w`~VA?V8cY{q(=>(-4V;jwy}n!VXmcY30O4wC}f)hGZdQe z448n0Y_+gcq02Lms0Lq#P^ahDrnm^XhJbJjD7g{K8enoEtD-92!Tnq`rK^c+>4Y(z*wcd*$te>ihXgcd)g@@U{)L|41T_AjqgBc9=E*9LKgbl z3Vm=SFs#Yx#gR13Qv)j2okm$q(4#v9q`;y>=c&ID=vm1ea9SzB?m&P(%nY`1o$=tb z+C~L|%f(F7&kbHfsv~SRPe?(FDWor%BrCcMQbrvFW;(NcLH%?RD1)$LIMDbqCsQMr zZQag;Lvz%Q*cim~S4YrOpK|+)Uz~@+(*<1!p>UwA08@P2#@r4L_Z5W-)(O=5Jy<}x z_CMm<<~DVQdbB`&Jst|WB*D-tRuhg8<7P-?1H?iiOQe~|;+Sg)2*I(=vIdw;$k-DY zDr*8E(Q5zvA$Md)o*K4t0u%J7Y-IUg7LMcVmq%*t8|&n5ha>AzVm>gp9sSB;Z|SN( zb5&`L@L4O$+jgF>Y4n&50jX!9l2#~{(o1M2ig7SRG=q%GlDh?oq79Q1f;tu|4ltRJ zrVFiL$iWc4Pz>IrLq(xk*0Gx;D;XIXOn5_9J#WP-7OSFhBlc~kr)BQU zL*MdJ9sly*7_tjDi*HFH%>=v`VS@TJ6UC5Pm#YI(jM=znQA;N%nX8qep%#!9I>;Tg zv@5CQc$peTu^QCUD+wD6tb;Z6q9f^s+_kmkG0l6v&Gdp=!DDm1SiMMc=AFU^JWP)L zW@6V75K1Pst=f=03C1GW*#V2_P7_Ps9HG2T)HaYp!pUR<6FE#d={shu z?bnm0r07iu=vpCDK@p478HIzSI+bM_cOoPw(uX~?qbSW92C)vVBt~bM{|psvtarWKGQU3{=lb zMU9Jf>X)`hIDqdZlvu-bva9?WpWynK^#%P^=T)f|!pGa|yxkwXsZuNM(x8g8@3_3z zGGTUM?(;v7TM0*}IxicHyQ@~cJB};)RvckF*pAiL=C4$5c$Xg8FF#%=Sbaj>+d)BB zmV)B0B4s{fQFhCo!T<|?onS~Vv|FW_$Qp01At2CKLoOPz7pER0z{I%_S=vCzK<$GI zxGVj4zQr(~Yp69VmZg79e%UH&6YG+CZ%{%7ZIE311vU7~Ax?VjmmeQ@#pU+eFXCM@ z6ldA8SF9CE+wNKD{0dc3Z|C~;p|lRroCnQ>S7n�tQUs%1IzfHU!A9^2?Z9h`eoJ z(2j6nqtrwHM5%LftL2A=AqXzEHj=lZf(vz=J-_z#!|rv^WZ52Jvh?%6s20iA3it>| zZ|wqZHmpBq**-Q038B>0FXj{gfB_UH3T8V6BriTol{EunwNP6tl+4vi6zjZ}>0%RP z>-h5!OU8oW_cpVpuDAQi&65R0vJ;u8KYvyVxP~OJPVdL6h$|UJE(FiOT=1!iy;R`3 zq1Z^CvQU4~Sy!Zo#sp#K2x@aot4-aTQ?R-tPj^YMI{7=vjq9L_DpIbqC_tDi5cVZ` zQ+KVa9a#Gvc-mQf2&A3WacmSB&p`a+MMR#)IySF5$Js>Tq?KpD5>o4P!oy2qvg(Xd zJBb5!Ef{B$dbwRwy6QC57IZcG0I;$v8+uZTN2UNwkP9;NqXKaO^K!_RzJ-Kg7pj>nq0W1ZeGjINL}in z)$^xzF?l!Z-@^-zVBz9?Qg}ehQ>ymIP>c7Y2|qk9>ak_5UIPkmva-G?0**neDiX)I~JwRQPXkB zbcMXGz;#8LB4dZ9Tx4f@L0(~4@~re2wRkLPjRmSqIL_m;HPIaLF-x}sH3Z4Dh2hmC zO$(ulPSS}wQRLf&hNAezOOG8Nr^epsak4TsKMK*wDdbygl`@8v8RKPqn8`ES1uX{Y zxR7T(&kW4WG<8!{71M5#4P4S1VYhVOt2meb3X;XyQsYUr`@&5o^2F-oBA$e60bB9> zqG37|u$FFk2Gy!tlubh}o(WXS)i%kh3gSJoW(W$>%sNR;CJ|HQ@u1qcAlq0CS_hSs z6l=E=e^Ozh(Sj&>1wKR77Cg=B|4X$PKm2m6=)VpUj}{7Xe?fc?VL?eMovtgP#ly3z z`ZM;@0NddF+vbUD1R<5h(@bP8(TFe~5Lj?StSvTK157SNmNwWV1GNtYgJO-@@oQiV z*=ZI=j2yompKS-_Mq1E{X9z+WL>fXRhOX#+zBn%(|FqU3$AWwvD2 z2(d6S)J%-a_R6u#qrZE#>aG4F>Fp5x)BDuY@ZWrgeW{CDxW}p#$i3*_|BmiyDxi@~ zCaTL8M5-eT*;=8bt5u?wVZ<^VJQU5Y$t`f;(>9QSCv5z6@i^HtW8O#OG#<4&13(%OnLgN{b}U9Q4dJHY+sBG&AguTB@T-HDIW( zHKq85I}xQB^He5;Q=G*XVL^_Y0WWR_MQlyl5RWsoK8?JW8Rv&F`!ocj_Y2K5Lzg9! z%^E^#ehwO<_c^CK6qR*nvN@H4JE#SFkDn;<&1Z8SH;a6xz&w#OKCj7(NhF`Qp%fwJ0 z?hWKFtYDMD6YJuWGv1q4eY%zdt-^}4&}`^}Upvex*(4XFm1ZJ~R<0o+unG*hXvDGx zm|Td|MwrX|gZ5ODv&wA$D6pUS5qH(YO0Z@hdNNIfY44ei{*{W>?k-CptSs_~;Xb|R?PniBYv82>iZo+N z$+U>DZM_Dq;w$)w2VhaXW!r00`#9U$9%P&``Fo*bhxU|W>$@aDD!f|45m7sj@j7Sp zh|xCVp*3zXCtVr~-V(%`JRal9&kU4K{S{!p!rIJGGBZt2eMOp$yr<3-OA@0>wPC~V zXRn&I6F=*p1g5=DfJtzu@oyp!6%BxLAmj6Ek2=sjNAQl2w)SkDogs8oI4icmY+ZGX z+_9-Z=0H2jd`MrYVkHrJ?1HG30p?HJ1B284bsoc9cfS%X299K4>_B(An0}(ceFIi!BKPM<?iO5# zg!h-WYK0Dhpl4|WEj%maEVZ`k3e4`*wZ7FzA3y)f2z8c$L}%5Z3wS-O&EsbO!ZXgO z??$yM^{aJCye#&@^oOt=&BaE&ADJ&fAzfXRi((g=as3paSPW5;Rs1^2?I=(?U=pKYk`=SeD+1CD$&GJ1;-S_XPss%Wkde7Str$-T_U;AH@ zn4g3M2sg#c)~2!rE*Arm$84j-Lj8@fC6$ccwm}>WxB+{wEEXl0jpNQB-4O(C(#C1c_$-hW1!O|b=KhG zwbx~83)QOhC=m@!5W+*sKH{Q8?Hj;U3)uCrEj-hZ_pyyOxnW8~SCs{{*zPBS0W$-4 z+1eYQSm9&K3Kuxw><0Mm0vXX$F`8eQxJevhlreb)Bj@YdlJ>kY9W>0=qAO+xvomJt zQc{|n!|xCi8Q9Xt1E0m$m61fv?+PH6kMKxu?@CDrA?Q9}D8CnO77nyGy%X(bl6W=3 zCs6(qdgGF>NSrJk+PHFi4bnml(Fd>9KR#Miu|%drA3Kbp_kM`==d{|vy?z-+G1XW& zqqD^9cW2vGj{OLE6AUE8kj{Zs@YCEh0&cwt86d4R6ByM9UPDM=bs3Va6J!lAxeybL z00tpLliBn|SdpS1zzkaN(Ui3Yv;Q2Pogd4-sK0?HZ2$PWDEZ82(>X=9S`g@ZLMuGm zs?=BU?w)gBy20|YBsae*iVbruWeZ%cE`Eu{N0sU;L7=Fl%W}dS%|t2KmfEl}q_He{ ztsq^pAwY(;P{!m!=v1v>$kAx_-1o8q509tI<>#Te_n1+b1Xt=z8k_C&k=i_c&61q` zS?!A7Y&TVp+@_+_8#s-g!xa6^_b^&80w`X#W*A^KNu`kHkt$W7A%9RRvUGxyuFQIc zu&%&86;Ny-YV^$LA4TH6qv4!>_q<*-yzelFyt&-;)Z+0-or~2?qeXFb;TY?;TmL{y z{IwN??5cFpT%R9X_xG}u6+J_kvAT|)30rd`*lKI!$cTwrkpf@R-*98bWv7TWZVf`b z-M2$cfw3kDyV`apbd4>&jFvDSSVVFmou_7#Xf5t^r#NOdUQMe7F>3~CCTCQRefX{B z%zd0JU?z@vqXwu+Gp7w6vrE+o6{ODQj5P`H;Elt$F>F>D$4Ez*v(4arIPL(qFQ8?% zYGP*Wvg9HDt(|N(wuGOBH_fnNWwAJY*FuqBv*Z> z&=l5kPD}8)*3rf@cHCdt##cBNw6TKT?p_|U4%#}iNE@e4+Bh8D-Z?J1*ke5y9Cq2u z)=&AjeQzSyi#jR=FG0B3;-&#;=9`d3U~M*$Sj8x*UM7Xmes@nD(wH5+@Exc^-Yfiw zN}soL9V+@uS{-U{#E`&c>*DA#e8l5=^x3ULuH!1)ljZg7K7s5>uc0*kWcdU?6t@+6 zLKZ|xX(qC+k!uJD46q@W4F*{Qj29Y`r457(fp+9G4Ps~Fz8#mX{ZCw%L}MfP0#drY zrQ}J>k|uBLskh(`l>Xwg64m=t^@dZ_*ap2Pa75m@L#1~`mgf zvV|^>J%b)!{4$G+SEFmD97@lxnFxa2I;KOwP>S`GyM=TIe+6vi5*T8;fFVzA#bDa9nOwW zi3)G#9tUO%T1Ve7N(7}oh+>xm)zZ!y(5I9ehduJK~4v`EQ5w(+ua1M;be7!bEa7~+r5MKD$p}MIL)Z- z7_)IH>T$H4^{KR~{9dfM7d6j;374x_AUu$aw5HHavDD3h_KDf-Ww-jq4LWed%qGBr z&JLLlX8Rq~u%Z^!y8}+#(pRe}?Md|2Roj&LEsm2TGIg156|NXhM3m-N#v?;HKx#zw z2IYR{sC(YlR8LXwMlmyyW-S`x(4Os~vb71XW{iUU_+Wyx*ANn@FEg@)$N&nMu@DK3 zunIRBL?PF_QBD`qG|MqY=zoHQt^Z0RU@5o^7Rok);qoV52K9`<0VJ>_l@gj8e7Vq< z4bQ6+(`q(pXQ>`Wsl5pBtioKzQRzlex|~J`sWA^RibgQpln9zwZ^Q;Yz!;LP5P2FQ zFbm_AW~qhaqNXZlFjA^@B=Q$no`JO#vZ6srScpcDNDb-WA)QrVWUq^@^&ur`#13%u zN8^`c3^UCEX<#W%7*kOR;F%`UJ7Adcfb%(Z3w|R~F~+omV1kN_r|}aW!>)BQxrTtS zL!vigSp!TiM7BndXBW&el2v9E{bA45)J3zbR^!XIfs8QF9D9fOwZp?Mcv!s^;Vil* z1|qCLTG#l+9m-Z8ki7V17U*sUc(y|h?|{|SEYd_Zt?j5ude^k6%(7;4NRbks(@e0M zv*QA@O>mE86tV=}F_W=`EPcQ`=h^IxGANUvClULQH-S#;g&w2W2?6Wk@9t@4_Xjdt zMdeZzl_JBzrRj;GIl39NX(DT3LA%C3*?Myg0U_@dHWoA)U~-{abDn@hrZDZa_b@6t zO0I!-d^G9Kh;iqvg|ZdipyvH>DjK#U&RkbgIYJ&F&}5U)U%X1>AAG_sZM(|CYfa9DVl}8PdsJ! z9UJ+q%clc{wvGY}Gq`^g%S)6_b~ZEG>(AJsDtYV!CtNRGyfMKwkl_9yD4k#jQv>Rl zeUbrl?|DTkl^f}#X68)QA+ToH&e>B8a}9hXkAaNAQ2}{Fa*3dc^+s^m%Z^PRV@RIf zEN*ezyW9}YHPD~YS$uKs_ixk5>IFpK8hJBVl9f35@=M|Jxg%#csHYinJEf~`=}4hc=E_j3WMQs)P_gRdUI)lDB?2ozG19i;~R_~suUQ|1jH z!vtG8r{@0T+M(*px~6w+5a05cx_9(mycu!f_E?=Bx+w`3n(y%uiZg(CGWOoZp>zC0&qjKu2D$wdIJm0t-BlSlB=#PB598WmUSaBH1!-s?K5A zJ>roXb?p@*yeWlO67(^XC%GkMUsvGm)bC9_>1jb3?N=$XhYWBXl37TUK53IQX>3X@ zqCQfC8^)0uxNfQ)p=}$ZTc>K>u_dCe@&eh>$7k#Ig?3QKatYw4eu-t?Da@?A_qA80 z4?#RR4&=bGB!a!0UFFLw9q@jCJM?sR>_g=$vw@AT&3@E(;$)aL>|Uo-x#E4UqB&fp zSUr1oLea!9Lz)?Ep#-N28Z~bApSF2Zz#c)^bRpl6^lgNZ5%OiNK_h8zJu@+D(7RQb z%^L1je716Vhi+0kZotAxi!qFw;@~hU;dho-$Fwyh|2iKwky$%oP(62mWg*h49SqmU z^hS4OF7|U>iCTQX&h}XyzVh1@?k|T661Vio(AYRU%ryWRSxg~M)_4umC4wf_8{vD9 zRDdx+P{=vMOcUhVN5}HTN`@M9!VBM})cbDN1ta(LlzH-9?xjl3Wz48uyR6jT&F(ri z86@qTe)Ld}AK}o5lUYAEXDbjTNgw*`A@`)K#}v#$&`>(}jG5Zv+hCpzSeR)8O@;`+ zyatH?2scG*hKN=ORMB&Q=B7jlE8iFL40(%YnQws<^)#A%8p{WKEUY(8tO^$GH?1mk3r53 z*;v9fr}+}ucChn4b}gwgP$ruLFB5B@Qp${z7da&t+5VK~btit#L9=7!cP4O}HfNT{ zvhyPj!EBk`E?aTFpgFCbXo#GNV!;?LE>hJ;hP@0~TA8&;%Th6t zj@`?SrmjbF^;LEx=G+~+>=L?lJJ`F%T73ey3?Y6shT*>d^xy`h$HPJwW$oss;HW4& zrK=-9h292MX`t<%#ATwn*TvH!s&og2jb(kgyhMn|;GX3;K0iN>L2~+KqyS+KjVr}- zSMD#oyr;kLueDN;j2}L1JX7>BP7Ga=K)=|E4ZRx|p1B%emm?$hf}fAHVLBtE!VIfG zGvSTc)4Kp;NS>KlC3nA5^?v`hg4v~?ip&TrE^WoaWs!%EC2C<+MT0_DqZicx*B^TI zAvN6mF5FQX{5-y`@qM;sVWazO7#+$ML`u_+7c$J0f~kpZ1?bRZ3Up;s!hJFPhKNQu z3T=U^tX4FoN`#^4C_G^M@s zh8bVSOgF+1)UvKdkrtw9q3?n`wMv$#0{-9R(6^j^SMfyVW~}zk25hkcD~HZv4L25q z^QJ;4;AQJ?J0d9pSEw^BFTYOhgoUTnsV+M;Sj_n9z$N9v3WnHlMssT!d+ zjrT3#(c-XAp&CZYk{5BGf+H^`(_*Zh;v(Tf{Zm0DMB1)RQwGz0#|uqMcM-1CI3wh^ zf$d$P*}V$Adr`!+*|5E_WRwu|pN z_?#MA)cM}9r{-~m%h&injE>vpk+5PHZvfk|WLdolN=BEB`{?H$7|VC@&-zfgnZ9|z zvr2GJ_t8gsWXJ>MW1oqr1A4&{2^q3xW0)=xG_l@@WeqTfWC{s4EpW7?9Rh|z8KEa< z&f*Iwzpa%fmS&g!n-cSl?{RNB+c+O35?zn0o>g^Rzvl)rwZmylswylJUg-VJy?EOT zzQ_R?6nc1ih`Ia@WeXyuY0wK9W=f^f#I}Q6smT=Ray8<%a8czPmW}L95U7G%8(`KH zm?TV8esu{b)Cr2(Z5=~OQ_>SYrB>A7ju+{%+4nu(Q-gcZFMl5Q{*Jz#&ERS*1iwDj z8-jCU3b#P?>hoB*I6ADB7hWvI%T_xLE0ksc?UT&AszC3&p(=XBo1UFIf7u*WRD!O= z7LeI$6W+)>NC+3X)L9mM-CD=4t}T!BB8eX{iMo?DdL4#^^LR1)UtD8wg1lnt)a3Zn zs4u%ncn85Bz7h3ze@;_&8n%!<&?G#YCCDt5b=L*68*J8XAYce4W{jbTA2-<>3>&!x zo$c#)I8tXsYDf(>$q1VaqJdA%e9sY}2|9J>CItsT(|fit%rv;t=4BqCLKo*%vOzLN zU5u9!Eo1Hu)jL?znNZ_S;=$qu&m^@nkjKwMtbJo6Jwuiy}M{NU-D?u6OA|%Tnq5+AYqFUJQalfF!Vfv zMBit!y@`lDcsQkS9#{ps3fYRAjAg4p4a1arIv9!+<{eQq_&QdT-nn=R&poO{E?h5hqE&kD@USUVQMJ_((Eaa*|183_KX^!u z&cD(&Fgncd2o4YZx{7YT4^IeK46u1=4Kt-kX<~gq3^Ua%q%^?@L`{ZF*CU3RtPX{# zz#$?Ufu$3|{EjsqPhi)j**c=+8? z%SJd5WX4(3WF?Oa<6$}sLwXXD<&d9McFZh?<(b!EnZ%C=YZS(hAs)hX7!n*X=6&C( zAKlWux2p8hayzi4TXoLa`|N$r-shY;e{~ASNR7SC$itr;ihLiF!_o3|ki2P8B36Nm zzJ}zHX7QzIF5z~zAOhoAIpcO~O7d2yHU)(kvhAreB1%C~kGgr4Mkz&N#^srSdSYJ^ zL}m#lLkg`o0fj{^7FvLhnyF*b_C9N2fyp?TLUE{)OOv=4rUdcYp*j&O5VCTCXHuGN zySbEYo3!l~WY!4e`--l4&%zsSpN*W3z-IC?YG`3;#!C&JQTD#0s;R3`L|bLm%0Ue) zE#blB_M__-X<)5sc<_E`SzBL^-yD9~mf_(C;IVh%-PWBgjCy3ibbRv!s6ODjUkxB5 zEmGJPY>IYa8fG7p%)UjMjr(+~8CE1ki{LIBGe5XwXis`Zc{YtIDK7CZ2B7^xbX z7cdl_>F&zcSrd)Txd2=~XfjvHJ>`>7bff_@l;LiM-in%BXq!uzZ6&HBEtPC5Y0Z|m zH%Rb~}2*o|KCJOd{t z`EiRo#zdoMqL}Q$@2QzYhNIf1i|=cHNlXrluq6rdxwA5_Y;Q>9+B(Kc8z4(}7s4RW zWuZkZABLid{H=Ng6Ad zs=eDY9BMw#k^Jo|@O7#utrxHCisV65-LWTqD^*}XFxK|1ei0v9Ipa!C4*kQRD-5n6 zVb~f!ig(}7xXtF9%X{Q4S9+oJ6|~+(Qk5{|J8)CgO3Iv<*z7^W;Pn8e%4ob(1e)FH zuot7azHEF-IBeB-`-UWAUms`*zP>Q3-!g4!4WU)PCd9Q0=EXO6*g<*twJIyu;5)w$ z&EJy8c_F^iI}PPx*t&K^o70>$NB%B;L4qmxRz1m!EUW&tE=?=Q+VIW!xlC3H>Sh>9 zNm3*>6}ocQ;`~MOPC6VTY3nlY1Bnh5%6F_SAj)CMwM-2elv&gd%TIEUfdk8{FMBM~ z?%edR8?+E3uP~+%+{45Ay_FE4x^6wNvEDRjqLuUfHw@Sb$L)C1CUV)uFxkVfZ{W3P zW9~@mQP;Z?;rZ5u1&bJ+E(USpV~}rIY8GOZC3?3MY$dm-k(Yr=+ByVm$hR2k6qE|F ztfUzPi=L(B0^35h^cg%R!%>Vo7SW@71WTmqVS2%#h%N)qIINu}OFwoVccEIbbH+Ah zq#|*vakkF2B^6=olCwch>n)l-cdIDpnP@xp6mALJ$sGuQ*=gcxaLT(6E%qRu#6H^f zwQW@#D%<)~96nx|KIGygK&6V4xgJwP^z-qce$!ia*#9H95^_{|+U@V2@h{N3fC`{-*BqAHtsFQwCVXl6C}1W~!LU%8VLN zkchDrzpTt#y1NjDp_vdzS_rX3SBfN&O$hNcs}lQx=Zmyr>{jBiHT;#`KBLMP-W$kO zc=qZnj*R%g-^0WcgT5Of5Zf2PqH+9U@&Dt8WN_r$*N zQsqL(C(Es+r(7W=ByReO9Qr{p=NaM(;;1I1Pl+fbhyj&QV3k_MFjgl*yRuZ0Nz2$( z(8=BLLIlG|1;kG^@S5>F^0v>H_Q*x{*>3JOKsB-G^A1GGojNeL>Z zn?-AjpgG=@STMCUfwdfTiwyWSWoeH|7S%2cLOJ?AnQAG*UCqP21dh19zz3+VU1*}d zGp`x3{=&G(SMZr9xgZbAaHrb6GNX&9T8g{U6Ah0`Jevi>wg9{zwR1?!ysOwSCtMa| zo{XsP-HrL#znWMW6f1Xu(5w=VqjF8?K<8e>Rph2zmsG`$vvs8^LWketu~03UBtp60 zJWORQyv%_Qhb8vCG7It0!+}}7@d1k(orRX%L*F<1! z`R1ULfze{y*n@K=L60~|P%Fb&ItqN0A*C>#AF{McW>=k^jZ8ibgsu}tsSY(J^GR=& zi#k}Wb9K(AvlJp}vwj;sIxQ)oEajcxHT?6zJhpJR@Y_}>sBiOzs>3Ty-e5IKid`55k%;9(wvO}I6yqzOY!4ZRw^l`dP!tV|nS zkqtAw{b06Eq(yZK$SxVSPUs*#@!O-8oG>yN4O%hhVJ^{nyDc$Q;H^M`dK>g|2YOy{ z$1zN!&rwfy;k~Up*0eoUENkFvn4#UD4NcC9Vo6ei70HqpQP~J6eNKfP98gPE5r!0* z5J$=on}t|-oLJ|erwo|BzG8F4Wo@pM1Kv1*<9hx{yg7Ov1pfEGil9zr z*yrQ*jGtI`B>uIhPlQwNM2Yf!3IE#xeEZ~o%Wp7lB^+2B50q3t^~a0S*25w7#hg6$ zpg$8YU@thvvq{KC3|LHjsv$C}hHi0;L&IzY>_#G0#HqnErtxZkUM>rYy@zg-uwLq{ ziYF@8YxE<5Cj)2ro?Y}sUs&(l7^~N&g4m4qA@x#6l%@nuXTj^t7a@f}8D-!Eaz0_ysuX?FK*;*E}&Q zK>c(?sc_FDU~zgJRh9j!t%Qkch4d8HubP{l)P=xRiL0&x!3I3loV1(kP)j0O#dskr#olESLs71!0eDmO6AwD|is-0qR@NdZHy z%y{~!)Km!!?hVTbwnQblTFq$6Ax9*GLh9I%oSzd?I?|A!Q!K;F0b)H%k`A%Za-Q%4 zA{SD~TSBr72Fi$wqL%kk*a^i1NUNq3_!&7NdMhbcBA(m-X{6L*6q$KfE zBrOH@_PThbG5Up?K=MoO~Agp@+72X{v35{sRpYYG$xI8>7*E!NkP z-ZYCxrBqB?DAsKqVVl=MSCm#cjH(+9d!{6B5Y$;1dsxGEkuabf&RC;dW-bG6on4`a z-FEf}s^P5(VN5o71q}^b0vU$_LI;4%g^;uul0`~+l-z#2uGkh%6;|4eV%y}n#;iU@ zpGZeyd*VxAC7F|QW;J4xQUrRJ6UM_-GlSERLT0=Woe9ZVjBE=7OT!jMP)!=P#HM9JkL|J+g zd8e#9m6=KFE!Nr$zh!s4gy;4>I)PZ%%ON)_9j693MbYL=re&IHrLCdK3;ZbjtIx1i z4tBLxP=I0S&V;yvUI?)usVg=i%x;x zv?U2ia|v)$y2THC6GWD`gtVYi#PgK2sX{UmEpjN5kiHay^EOrT0Y%WYvdTXlta7-40m=D(>O=uE)|Eq;9#)d>xyyc_@WV8XctQvZ#*mZ!e zgLb{7cD)gvCG@B!Q`*8;K504Z;g{X+0g4K0VBr}L=5d{2pz9rgW>04ulT9`3V_5>WkOB524K&nX(@& zM(yLnB;)&Be=oWwVuC3}MR;7FMh7bPJ|RF_IELs=C1 zXTO_-ajHN`P!N~J=#rL|#EhWFeXhtVEeuM^f{e9RD6@JGG2KBa_to_ykSNJI#p>8C z&^SkQm*RnV;(a?L-WQ18cQEoMo`QkrFPo65Ln4pt9||oaR{>9%HTy8U;miRE8Vu9(iI}rj`O@W6#8L z-Eb7G+=K_~yT&A37{V0An6!9qQ(7V`GIIiWa}2as!?8m9BoI7;JJ$bJkAX=MKf0|) z1E?GIz_@}>+P1`|joJ*GL}E}OP__+zE3Rm+T8Om0!KT4SGM*Ezex#vnCJ5GDe@HL2 z?Xo%j828R&X0zlttr$jy4U&02O>pCN#PCxNt_-F&8JTpGEkK_tP%BfXN@CZM4z|H|uI*!Zid|LM;=*l)GRrTc_|t5S0h8EI zYUro|HbmR~ei#;9u>UYqn}P2J7@HiFms0`ov~dY4ro|S$&r09Nq59}_u@CIULR^#P z09Y^d$MkjPxV|eIm2Unjq{Wad63Hr|rr1AvpS|-h?iBep zhOMo~2hs`Y*hB9L6T^4yl}6(q2Yq#Gij^{DApVq2$`moo?Ibm_9tf;S|DLS1@NWXHN3JI1y=QJx|Ws8X00r_@r0%5 zF0q3QtpIV`Sym(vxMsO%D>k$I#J9(qcdr zSQ2Esl%8iHn0je8_GgwQcw&jeR^QHldOnSYLn2~6V;jFFqx#!29W-k)^iPn(IiauD zqObK(M|*qUtFU%{IglLB{s=av0anRcV7dDolfSsec(RN$QbW*ZMTJOfgppT^Ok0H1 z0Ry7s2s~6$GS7h1l1{XVtMn-fv`8($Qw~SDOlPz=L4 zgG8Nz0;?&;MvUvne15u;K|jnHSc~0jK8HNDQ|F>?#ih}Q$ti5Y9x2vh7&Y7l$dToN zE;1y$Q=6HDs3rVVz4*}nBps+-s=QZ2U}-a6my>dlMG0bGjO;&8QlFA%aX#it>9ADEzxub)ePBq%$fo=MW*u9VTooh4kEYOy1bJ%!Aj}(@c5fJ zj23u!>0aGUqpNk8%8U6iu($$cWsXK&eJLY4j*hUtbGOKsudQUVy#&m&p-5MR>8JvW zqREnCN$BI3wLck$0zyF`nUDra3nA+fn>2tHEP9H)K1D>)QzFYP96EU`7DrqE^>C86 zzkBQWv^_F_qdU%$D7ptt@IrN6bxB|On;n6C;9sN9`{e1N%zu<}T&9mN{9gv&T@de3 z6K_WL&zoh0OjAlvrjR`QmZ1d_29yGLC?hGs&# zi-Quq#bT#sjAx(T;m>fjwXtG^LfVhxM4!oT4h)9lmy2C`I+Vxpb-_wdB)#0Z+#AUfvddd~jpTsb788Bs*1O;(rF`nhP2i!TZvnKP7`G?--qib_eyCz^C!N zb*rsgKWsIbjAy|ewP!1UHJ~pTU>5O?O}NN*2QA~2#T^H_MC|G?Y*MhxNznq=PY$Au z;eakz_d2%-2MP>%mL>`K^?J*lw`WTx~Y0h1+V9lR;s&im77y zU=a#WDpebYI=E7R4<$^)+;(D2EJPXcQCAbwmrIT$P*(coguG+sP+?HaTw&R-4xe%< zCmox1fcnchIe9Ss1tyrfVkhyTz-T~Pq>s=?3nhJoCf2nHpJ3vrU|zS*UMd(y6&(MA zNJ-VOIknqCLxa|D%JaC@4y`0{+387@^@`B{zDTx8diK?Z{HER zp>CDj2ktprfS%D;-0n}Pt5poRAuVn zNfCX8wcfp_MSJ5D)WA*|U4|_%%_MjF4Z*ZuImApCVoGz+x?!vT<$Ty`vsEn-^;SjJC`XmAN0HM{qr@>u+;jbRwi0sCIA zp|xoMZ<@I)OJzn_`(BkcQ=8K>M`-JaE)Y38^^B#k;hqthvx%y02>VV;oLDl65lO?q zNaH=@cLjzTNlWHJx2PJ)Va*EmFbc;s7S)ISR@4=1a{(BRZH~eEW9!xz%^HcYRWzQZ zxnMpUqmOgDFS>EBv@<-%c8TRCPmnq&?d|4O{f2Je3tWicTa1AE*unl!g7}$Se(byc%rH*r!fI=hjBjE)cq^NT_{wvny3`f;R(sF_lmgF7=mAMOm_|b^ zxzuXT8)(}|Xz_EI$+%}63J4`tE`+4TkTjBO;YivSAl9YNl7eYpBi7iZA#Hx)YOfJ3 z?w0w{Ky7e`iOlOEp)+OHe?<~kYxS+iB!~~5gT27NBidTRf_(aJ>s7}u5^bD=y-Y|- z1FNk;-@FwyrJ!F`NN38JE0c4vq$>j)ysE_K$|bMNhM9ttL8Pbz1BwExv@j@Rb|EZ7 zGa=n}QKFq#_l%;i4;VbXy10W@Nnb!b_TJNVPBDsk-Gvy(ykK|@$+hoEx+9 znp@mB=hB!}VqIB97WMNh=OeE%G`jq&QPi4DD(fn5lQ>QA-LyQeqPks{+eA+w{5TH! z0$4A`_6h<%Z=^@PK{;`bG5Su}cbfqz`(XgfOhV(f1%1OgjC&*>gA0xVumUAC%YId1 z5#PvwYs91JlnI{P4m{jwlhtH{=gugq>qb!b4APD{(B3HD6PX7F$Y!Uf{K3NyLJs>hluO&HYTJYtztlozbz zqFV!2@FdSow=Cz;R}Q(%al4VHVzD4|B`c{p z9b$j5YXH>~vv~jDH;-_O_Gk;Mt^XDw5aKo?S-552+g&gbw_qRR&{1@~ zzKB6sb|ll29#&g-`7(mt07NbjAhUj|_ z(f#XWHI^0|WsFWlcX}PECEZ5ECMNd6@2|TQC4~^*kC_YDM9{`4}SZ$3ZdP(48_i)1n zZtunR5T`xD5X5p{4t}KN1RKKk9Eo&tVxjDWRJ)x)<33Vvv44TNpj-9hop|RCk|{%M zN0c+?*D~#*W&jRP#PzCRguJ0476v26l?l!m?qTRw!(tUflg!{&ktKMG;dLcsPqi#c zWrVFDSTn}7{dg)6Cg9^2=k2UkM;JMQNi@NlmI=6P04uCb=oZtyuo!aSkxZ^&`0WJU z=h~wL_Mu!2t}N!6?A0inbmbb_B%gIg$IJbt;8};(w9ui^l)D(RCb?tcFY3D5Ol|=L zvjq5w4FH~OZlkFS#5Se`xrNtS?Z_6&JB3-zy0i_V?M2s>6^s^Gt*{OYiSkAY*z8>ax2V37DBn+8cWc3| ze3r9xR_H@QoFR_sa)olWWHPu1cvredR+A{^G;4q|4z?4a^yETFS`5h|x$PsQ?F*QU zVA3oJdWmfv7?PWbIPo0_(KE!1wim?w0zx1}^SJv)Lpkv8jZ4QYQ&s``z*l$RV@B^u zw(#q~%UztuzJ;EdUSov5WA_aPB|~yc30abr9!4^BWZAd$bdo$+X$JH)l(7oD5{^Yy zj>1VIc^MQ~r3p|*=t5YAX6fy=ixSO9wV;bTVku)1(fU>B7HaaxW$Nml$cj zKmrAJHD%91dcXY3a>Z=mp1j1Bf|=%C;2qZl()ikd7ccBGBtH-5sJZd%&^>;{VQWd= zT!g*`TuoVl#DZlNSQ2E)ReJg=Gpla;bn2qf@N5o?&pvfN#Ce3-oi}cAwCN4( z??!T_BlOJ9V5d4K2FS)aw(_zz(OtZ?I_X_;N&|GG?ZaxsZVr`&)2yb6(*r%gGA!ZD zhStDn^ttT2i%pPTGUF0xa1`hYz%d_z?glfK0-FzHl;tp2J@_6?cvo;+2RdioCl2JV z2TT?5R+o}QUZvQQWMwIu1r3gJ7Im~Xv2lh(SlSworxq}_1LvZYUHB9Z(Hl>|mnAq> zSQy87c?MuzVvnpRt6P|vOkW#k**FuAzu_jT=@~r19UDI{h5b5)txbu44K}x)advHl z!O&a{af22^n)Wqq86KTQE4<6SE30h@2M+CmvhYzIuD045oVJgI+B6i$oYuA|2`zrg z5|h?}oC6V(A(Wn62uX_}nOF-XvIxDUW!QfA0;{wzD2clemZ6!DM7NzGN)IEo=%|7&?kFb8 zNDeW3G2TVq6`84~VD85cELK}(c}~2Xr16O74}NUQ+Q0i{@#DJ&7_}N$7={M+`tpS( z&wFqo8SIW6^ySv}X=&b0!mzbEUC1SgGUX~geL?$TPIbh$22pQ*1}0`Jsb^z18CF|c zlby-_gddE4JdjZiTjkI5cBYf0hx-J=CT~OQ9YB#w{InT{vEL7L@k=js?vrwoFcP>C z(2Ybod2u$FiyjFYmKBQfPYi5S(-wTU)yhc2kw-E=M~+yDvpCd=CB=vjZd(j>^nvkrKTsmb!_a}0&+uLb zuAnoE%#tM~N-M$e(uH8DQ(~a%WX-uFWHnOCgM_^SV%>~yr&vo;!%Gjb9>k(K?#x>f zml1qKFm)=#eIVv$5d0@OHfer&(v$njod>Q#phmVE&m*SmOS#E0O3 z!muEL-wJ-!aDPg;1Eg2s7c)tKoI?S@uW05%9BCmWkK|aCUGDdi^cA>wiXAd|9Z^2w zDbq7zeTa?LQ0gO=ddBndc-D{g&12Dh0=|Gx8EfvDN=O#0%cH$pQVFEh9)M^O@zVE@#THn zz@p#3m5}ACz?0fK+0dh%E{F{R%-Ij3gUXH^}H4*<*9x*d7GLci)>|1GdB)!$({Wjk(`$3k0d6g z16SQ61Mr%FisfwNDFG}e-4J&NZAZw~i8X0*=W#dY2C=C>yZIZifMpY_RM@!4D_a>W zkp&>qlF?h8tE4}SF0-jjPZeVydmsFFTahOg{K1>p%P+w3%y%Gjl-@&QzaM#W!X#As z3!XDzJm6XTwpr1ypz&>$%nOt)}B&ilV21}m(2N1gg#y3G+DkO<}(?2Y+2CFx8(=_>2L1^EA0b<0r<4AhO^T`c+ z({`BIH@pdm@6XKQv=>em1A66cO_FPpkXt2m@ycR!shDZBBkP`>$6k@okItndAu)U&)PF}bE{k}yssbn&W; z@$4h`w6{}q*pA4J29Rm11P*4QYyYOjw9f!oX7bq& zUS$?A4)M`dGGakG8NzXzbHbOY05&q26(-`k%}0PknWh|PEDa^~vN29`!`dVD3P)w) z*G&NV-C(+dwk;%2P^RFmkbGk2m>0iy*~-H>vt2HM9giZ9Hw|bmEs^rMCHLI*)W9a0 zwaXHq=US2baPr4-oaC_)D zxE4P`&Y^&y4l*HXNr#a9AWyD(Zk5<~{3iD5DlMnF}#Q^|U$>70}L$$0~P3$;2+Uc8I-; zdpo(2p9_)UKMFoi<#~e{iBiI&@AXlTFjI8%9lq8YEpym<*5s_W7`BdK|6v|n;uyoQRpJ+^$kU*D^v6kRh^puHFdxt4%R8P7)gnLh{&gPY!hfJkY-yd{+tE)`%$DhLj7ZJ6siPc~R)Hc$Br_KG1WbA(<5aEa30v&S*na3G(`+;u%+Lm*S32slsuruu;DrIEL^crB z1_mPtF^TeN<;g@=GQZCNTPHyZ!vBJwl9;ht_$8pHHQ;csT8>nwFN?J`chj4V_6|;z z&>NSA_K8^kefG2^|5^-mnu;U*K80lFBtIMa z=Bm$mF3ENXZ_-q*x*+M^uidyCtF0CrAt>$|mkf7+<&Jm2ypQhG_;0WxXo>Bew}Pf> zl8{>|L{MuNuPjDxmyDuvAlF9J%ucHcLtw^1;a)`)vSf%Vgk+J3D1|n#PNE9KmX1k(88#E{7-V9Z8SBWtMwin{W+oP~+Ybc@p4vvoi!hwF8`u(AWl83=o>O{Q< zM6PE6-D5jjSypz7%N`3W#7PCJC6^HmhNf<{l^z27zSg;LNo}2ZH+46gioMauS++JB zzfU|UsT3;3X5T(PMtddHIG}}%d;xK!LD(}3t#a{Nj%HN|sz^=-zL!u_5M)h|yxZCo z*l*F`-B!1`x08skzshdJQ$=mVuL3Oag$X2<41~d=iW}bF!nCrHwsUXm#jZ!1sU+4) zijzVBv9^Nou-}wBrt$9onZDSM;**e%U?RUTY>nEOCw?e7k|{J8|G-G)z;7DGZ*Sn_ zc208;u1;<-)^FUA?!Q0k4L)@FXgdkszH6U;O4@=T9m=!>_!&BqaJu?~A&M#?Z;Kxx z=TJbPv2rYxB$k&#NFIrp3Q$9W0(bax4tH|2@ovwLzr7BHJW@?i|zSpIvb`#SdB6;QOk%g~idcq;40K0N6SiHiQW8d?z%@m7(mchOAR!DM9 z5^}4AE?$X6I_#2BRI(xgbEb6UI)yvqP(*ZQ$r_Lq?UF^RwLn%b_WhVNy4v{Cequl_ zdtev3OYg#I!tcY2;!enKhjPyeZ1#WXmqPdQmF&ixpGzwfRR$QH@)H`ylE}wE2VhPh zV;r`=>Z#LYb_MHmhQXb=t)Y+-5=&O);CG8Fk5Gm^RE9ixxN5NzC}m*_1?@)6sGQhg zjQsa$Gx+C;uBCOlcQ80{`kZ(4)v3aw%WAE*q7mk9;tMcEh}=cWmx}>+;0MHhi`j|b zgbUi<%MMp2YRjxDC4JiT+Wa?2SSc3iHf%gX(I9{yh(P9gdWshmpO{8(zoAfon zU|6b-&O(TDbX-uJP6DuHDg!M;cHxHCR|dM`pTq!DUf_ILi1AS)EPX0fKLeYk6MAWy zyl}60Fl0JAIsqFu>Lk}tC0?YHAjW4t!2uB1&|ZRm_JY^YAk@6bvl z*VaLVOl|>CS;>h;P}Winh9yR|N%ZTeivT}9`vY1K6&H(ixJ%o8Z$U*`GU^GZo{)_i z#u#VhnPjUWod)|Lz}O---rLxda7ipp^pAiV(5+O1g_gxPWXX#gkVJ68#=|#rpn@UE zOdAN=LOzY3!LJtBgvvH~SNvEY&h=D0vfDh^4Y252yZR!^glHMEHV#7%)4UF=*jGa< z4|%3CqIOVpHJ#}e?s>fJ1XTlOeo3QSoSFTTY< z$nBC*xX`kT0-4(>PZk0*4kYN4B|{VwJoZ(YXxTF{9f0A%u}AP2tQxl}YD=luWjPPq zm;OMa*4>`yUt&c0*n6!U{BKC&o6p*9mtUx=pW6}HeXrzOG@|?j&LGoM3Ov94@Q3*N z4LV7rJnfC9ftz^*TsUkUi}isOJCNwpGH+cfRRs1?NI#t^653Faeeov67`%ec63nUj^FVF`jAeYAv@Spm%A(>XGc4r*P49`X&lN-9f@IBn zW<(TmTFzf51APQ+G`LpkH_Cj2@eKESx;D@~sOxB@f4gLn2$YQX<31-bnn4e$HVAA( zjAgu?nJp%XMnHWqXh2u1g-w=fB~as=q`CwW>Z?GtC6E`i^(C@Oc2HRcLb*RT&l7e4T{$?61q+?hSoEVrQ(5zQBU#|LVG``2a~7x_^PE!gu3d8 z8jsg?92i$v==3ey03U@Y@&X9icF^@mv~`H9G`vn8P_T9Ss}rv;jfJWg@xz~tx4>_b zqz3hTHKE`#YO-p%J{s29(zr>!gxgV%G_)w^F+lx`5UWgZO_66d7;;smN z9l%+qCtWtW`+{#pp1*fi!h?z7ymXT=hpp` zAT3EMf$Hw!MLAj-wI_i`hMNR?Ba+nquu41#-Cj|Pn<=LXX!Q8?c0}GgKj|Obx#Y*^ zYp`%nq;m9@a4`OewZS(>_DCan>rTDUBw& zL@AP9nz|A~44i@`q|g-=u}K6CP!uOIwuuC9DR70f%K;HAfOV(Yl8BlNWcPjtom#{043k{xX_qo-Jd^C(G>uJ!#6KVv;iw?I7vana&Vh1_o@q zcu}Y#R+su+V#?St1G$~jlsoz^8HT|HE94;uZrzFP(-q0S&f1f@07@-9EiaqvC z3~kW0k(+K7F^_p+@Y7iToa1WiLu1xE`@&Ejdo7G|dmxjaxNA2?wn!HK@fND9Sd^1dY;6_byVn|MQT`E;#a!u7FVVp|n;#IR7Sjl*U1a?&U z&c6KElRL2bF)^JKybUrF{n$@%MGJUR{iqG{Yq_x6=$*gS*FJtHR-$OZPCLry8>4VJgN)9aY-Vz~p2e>{KaDTs8ZXP7!7q z=qE`9(>QfNyNHH5LTqN%Wh|1}sYJ~k`1LrI#DU_H*v#S{IQQW|aR1Yo4#6ol0Kh-}ajno^{Z!FqRT>40p=GtV_{NdAfq! z)yHA_gr~vys$VLQ$Ojbh{4B{1erpYOZE7P!<YS79_p z7a?yQnPig`CtF!O81tEg8m)=heERMO5B<03zUprAAI5oSHK5&>CS1X8BfWg?1Fj3y z-t%Fw^eWEt56qv<_2yOUN~39fAU(?*Vy)D*olZ_LE9L%CSabPagG=q z^jstIyNiJw!n=7%K}xAMf74B|U@3DP(xR6>bIN)8hIr>nL z{ud*dsk}n$Lr)L*PYhvCgO*ElSf7OHuvO%)&$vK*07ryJ3?KWZ7sfL$cWSU+A-6RY z(w_tq<<-z7E{oDz{Ta63J-1VyEKqjIFpOR>ejYONF7zG$V!(?AE|lTM?=xx*fY_#z zu1oBvLNB&xQ)gdpIBb>RPbY17@D{NLClGoUmP?m8Z2hf3mas`UXg@NYq|B0A6-=K$ z7ldQz0FZECui>rzkQeIbE|mzIggrL^cfp0+)=)@)5~{coy2LSt_Ka3j#XaK|0x7c_ z(&qL8RN=>QT!*62>!s19c5`BHgP^u}m$ol}V?TzNpTZEv{^iUZhOOT74_%Dm<+-r$ zOTx%Bu8$7Lt$rep<)ad!L6z2r2a}ZFo(@~>9`#ptOa?{~|3oE^braM`S+keUe6j16 zwS^I)1z!eOB=J)`?P_GBOu8pH2}DVO)_2@Px5ipA^!ou>Qv+rYAyW)X{J7=a9~ap3 zGKo-2%m>H=5Ds7!0UIO86Rca@eP|qJ3opiQkeSyfUcc}@>KOQIP>PV|%{bu}koj(#u)QQ|q$ zG`GkbAV73O2gufB9|4MAr_OQ`C6iLfQ&td{M6tXT!e zRzrqHhGmb%Hb-wzbOh9lT@Dl*nId<@aAHp%Gj98d8BmBt5cX>)D@?<2O2WAVmoi5J zw6jZMBKZ12OXOi_$O?dZYSIBn+jHNNDVuUPN#S@BT~KL%g}B6%zKeu`<lW4J*(u3D3iCh2-e;5ONL$#8trLLL6xlV#5xx%IsCZiVv~cTdaFX zj{&(t1u;Dh{H%u%9+=RCM}5UhIdHT|g5Fd1kcF!Z-kK@TCVJvYuLQOLY-12it-_2H zTgdGp1axbvCJEV=!&@cj;#D4_6x(D;*nU`-ST@B}zcQVyLWYj4)LMG7`b2jLoV7}r z<=dv@Sq)PnDyw~ojE$lA4%x|u_2){NBx=S%rn1c6eYZ(9DH7&leWzQzzh+xWRA*Fv z64F6Z!&1)%ZiaC(W>)E2({N5DVW+^=G7Wi|&dOeO!jkuMu^$Yi)T>RSiRK(jBbYchU zXG;Ki44_-^8}~e;_-w{_GX`fU)=)rr)NA^183fdX7CvL<`Nk#Ds_-o;SA2}+@&Vu3 zf>7FO3&3oehf8J`7wrl;Spf&Zm>Qx&<|-EhnTp;iCMJQ1to%_?8Kr3fNeuQ=Z=hG? zB3K}@eDc!JwV(}7CZlA6m(!SFz6fu_fMB{ZaT$ky)^~0eQLLoMR~OJxzQk-QvuzhU z{&lGLp?R#P{G>$j9Ku@9727%;#@&UbZycm#<;6Sa<;|fqPwb$5YJ$EF>kvm$Tk4n6 z#im`J*r)d31KRIH2L5y%?0QMHy#hB8=J-J!tBrjfW=bN~1Vcxf;f^N01Ht@SQc;K_ zbsQtXENoP@#mF1Mz(C9eN@wR4X^G_x@Fb&g}C!IMBn{uV+$i>kllMW!Qf#FMm! zLi&@CNhK7NOI{fmI%t=if&mo}SrQad4u8&}fXJ*6P>AB^?9#Y#Fqm>@r0f@OZuzjZ zh^Ycg0&pMBwE@2q``ADrpEv+b;;Vl5wxIaiQAuz8p11U|_m9F9H=0{+`@dCh+4CH9 z(9R!h&VPSbDAyZ9ewARpHsVezQm3bp6&E4?EGj9#nsRFswU_%3mEl=#iz@A@5R$l} zWf`%Hgn^aNC9X2Y787WJ->}?>nerGlOa9+5@E$H8H{!W|myx6XjL0hxyNT!Mu_5$( z-)+qBKHswz-&mEm`08IhZY6H)mf@$vrX-V4)zXHKVP^!uIMV29NWn~o#p{-`3p{4$ zQFob>4FJvZ&kaUgGhwEYuZ2zKEjxz=wV0Y(yf5LW$-tv2Emj7(8x=PLJQStT*=%Kq z?z^9^vpUT1Y8RT#L;@J4IRTmfpW}Tsw_UkwZfjAAE> zUoB8lL5WF8Wkmqw90~|3!4gd&K1ey34`TZo_%1|K_~qddojyOk+*+z0;bS{Z%^+JWVNKh3JJniGBiu?nqlh+Pvs&E=*Qk_#V&EIPy;Mza8_)GDdC1#LqzjI=&12=6>Xhk zhOJ^q1rCPa=>Wuv7MR1< zmJEJe|MHp!dD=X;B&|?L1qp>zLZ;lDSKkbDVA9KWpAG6Xrl7^4Hf0Zo2 zw>2Dm<#I^HuoZv4TnZZ#Xv_*=;s0k0GzHaQd57w^0>DnmbI~!U$3ZI*Lbu_2P?j!K z?H2EKz_^NMm`d2sTXeCxENLli5FKlSzh^saL522Xo&exOQP$uo=vH1fsTPyGBggJ8 zbe+JgrjAKiF@?0>Wn;7#Sy<^kM*3LC+?#R2ZBlSYawq0clB#DQ`k5Hxq&Z=FI>B^$ zw%hHeI;EC$D}+Zf8>iKXLstN67s?GU3)p?-M-Vxso=h@i)z0*lfNcSp6%?x}B@7zO zxNUG^eN0o%q~Xu>4e6_$vqD;v5?ToA?`aoMP-_B>%z*|I1CK=Yt6{xdHN*}go^`fd z#%4x%qKMBto?S7jq0By9m7^;L0e8w$*}e4;k~l= zyq}+z_yRxj|Hn08mhl8>lG_>z=}$r?rC@0BQ z<2PE^fI5HHMMhrrN-Hxj_SE~SQ7cFG&iKpV6Ehubqf225SPWY|gS*qy$U&u3e-8_+ zzy28%q=(QS#=5b(;6iR|D5O6Lg_HtQ)+MfQj82>PBcf(E2{%c!*X%JEQZ9*pwH~uY zlJ%2q#GI{{dG9e2G^cU!=#b1v)5oDMzL;ZpmM*>p)5FKl@&&_Ir;d6uLACeTt2$_x zk0vQNfJKCry=a8(;lO?5sDtK*%xOCE>xvInKP>)aVu1}tCFDJwK9rcGbz`PQSdi~h z;3Z2qqNW%MVQq`1vEr(2xDA(H6~7u_I0kcILNS^+_o1fY3xdxe)Tx2BlV@vFb`hG@ z5bIhrbY7y#d}(eK+rwphgcX7?apbp>(&1@}iQ1EiYdmZ1qSan7F>!+Ke?_r)FvVh= zEsEYj&V4@VPR#hG&dZejWo!%+pgB6#0P4rTwH$4TU$6UOK-~vW={X&6ANy@E1nVg( zEG&RfuZ6*?>-^3j_-@6D9Y}CE2;_T4s-e}{Xlt5Q6qA;Pv;j<~oRpaeTb(e!nxsBO z8GtvyJ3watO;L0Aad zL>XDle|(V<{f%XjPlC5%*is1)+w;*1QvxPX`+o)Z;~IGS>bae6wYBX>v#k$W8Tw>k z1~F`%)c+XC>DVM}K#7huB2|F_U%g&IEjFS3CYu5PC;7i)U{s4-UEtoAbK%={f#@Xb zMS<3}Cb+srFdK9_Kf_8viKUGU9VvGf5~aU@Kx36C1d2&W8PZz8lHBJJnA@5uxN!md38yV9gRjMR0^j8_s__nd5bGKsp1K(QsMC$igOi))He~7HS3@EFNywxExLqi< zVut;&Tu@+6RA&YAV8h`!)dz@nB0{>_y2A8e5<;HBA^v;L zaukL{+Xd&jVzVj@W4q0O*-YfsxSsFH$N=o>SI#{UU-*|Z&+?OxRliwibutE zfNv;e0kh<2xzHAEN-Pb9cQ7VkB%Y+>%_P>cvoc93uqY&O4ac(Ih3N^D4fwoi;;JEZ zA6p?EKAz#`J|SjY6rJMJhb*>SRL?Ed_%$ z`JeZ^*4$~<8`^{3ShCu>?2SxB;Zu>!-LM0BD<8J@S4f`twImQ*0S(}DRYJM$JF=;+ zSM8EhRB&_lu_Rhs7;+8;L?OM(crN5|?DHD^mfFt?)Q?sf5^^1xG;@c zI)c1kAZ>i{JCr*HXQm!F*T4p@r_&j`j~ksKX&*q0@3^$01z$??zrq`=DQ`Rt%Rp#K zwi}qTQx4Rdge_;7E)_Agiwf&$KNu5})M!i>I#32Au;9ySDBM;CAg`T;*0{4mM3g!} zt~SD=4`ab&8enCa{2xKCfe6~k3!JBUc!k6C#RK!aX(}D3)!j-eJET)SpFn~Y7`(WJ z1s%XJ?Ru^(32UEaNI7t#5fL#Gqiq#7wu}(TG(2oWF@7~k`J`tPpqq$W6*XcxECrK{ z+e&HbAi-x?sawK<Va%bbiL(8UE%4JjV# z7)t*no7sYzdyqeHV6?f+YNq_uzF%qhbloUDXIw~E+?95 zx=xA!o;h*3IS^z|Giux52X@|Wbb1prb9j0gR#fjq)?;1vBE=sRbVm# zgFcMz{207$=P`rR_>*vY4=`Yx!EU9zFj3s*Sbq34)3*D=dmR@&hqkGJP&jvwX3%NT zbSFYD^+GwiVC97IuKYip}I}NuZ>U;h7Mt~6v*_cUE^ltn%UPiJ{*Lhhn3SXMsO7{Dqmr3*&;$GR^$lF5Xg4oshG{P0i^#>f7Zcmw-Yj=a{Fh35r=_1V=fTd8QbsCvQ^ zb3WEL&%52xK0H4(E<-PXy^nfUxJkH}w}MLhDx^ebr70pZG##qU#5v+bzpyg?{rZmfz;Z zUZ@ed@qu$`&)FUfdIhmgV04PJ1fWRF@IPRGBKT>BeR)8=5N|)rjd$WnojvG@*(R{P z<*<}*1Pmt7HxdtV)`|>SaS51YssiH(e3Oic)L+XBjlkHP;$RWBJ&qkL!a__ioTHz> z(?h&tqDk--@C_1K1vCRQ2(;K52i-W04UzW$4~I?N66uZ0<^w*sCpo zoua&92ffk)ccNl1!4q>}<~i#)UKqi;qLB0L@0cZFoKm~U{b{!kvo5)3MQbX02v5BY36~7vQclAky*iaq7>Q`XZHr8suP7Zuwne>hM3o+&NR4B9b`L6&f&6`*k zf=jMa2o%H6b&zD)W3+zYK6ht{WypwfKoRbhPZP%z3(!WgzL==^(A zA?ITL;afjry~dN6%{}-*>|^;G2xnr-A&M4pth8HgecR<|<1qsPh;JVBsC!QLkagYNN4uQc2p`53kuk(c(snmu@2 zzua4q{iip*`}f(`W7ukB%9Sz{LOzR6?C|z`zcnrL2k^8a?ZKr-P&^_tZnf16?9Pv5 zIx!H*$^|pni5z-C5ts5>57LwnvncM7OSFV!EvmDJn^cMF>+-4a%KUfyF0Z!O}H%h!bH=ep!y-^Bl1Tlj3VNpY>5x znpMnt7@ErRTTdCiWODG{39Fm}(;42!jpc7v5a_lCaP+e5Dj|1&0yuxbr8pn=h?BpA zGK5RpGn23lb7>7O6%cr0L4t(=f*&Yv1PvVmE(7*%&Ci8z)dgwYRxmZBQTvv3-6klM zLMqpRsjfMUXe_C_nKBg1<%2LD$kh4ZIz|WEDsF4E(qvuBzVips>!@N`LgR$B#2uTx z4RY&c1Sx(SZn_>Bc0I(KqP6z7=D6kaL8IPmJx-f!m_6lSSAL!M>~>aQY{=+pd?_OF?6H_HRDi3KpChf019!WS%^Iwi!IUDp!E1W zENv5A4uki;2rbiPu#+L-TCw-N!@!V-W%8oS1H*S)uaAZX<@a}rHjf**_nsXRkG|8l zW^}ihvoNhVb}-0#$>nmn7Z^*2V)kLufe9vjk%P0R0)0>yLfP>$E#|GD(h`OA*BM)# zO2|~1i_2mdI;fzWq7FODSe=D|{+t64n8!&xQ!&a=e*2;wiQ*08} zybRKxi+$Z2Bft4Su`lK2=qufNy2Y?{UY70|6&v2+nfTb5GJF>{G&KIxbW8u!Jgoi_ zPIOg+o_(q3zo7j*Lp_Bfw>x#k(}P%Ty;Q`2fp-#yt%kLx9JHw1+;FY}t&NJbZwd@! z_e_%#+d)ac3uhVkCoKB~**`!7C992gQ!4h^26jaSQ^K>Bb;P+VuG~vTHfBfzc7TAi+$n z&!}md=ivYoEcOK*c}sH((gcl|fFxybFlE&wm1(o&-o*=HgsyN*x>f+@6uQ!jD3;N> z5Qd?dkdCY&)epmKIgX^}sw>V_J-8#H#m|X71}REOzlfi~PX-MqC=XKzcTBhujp&Ht zm1cMhe$}9Xv`IAx^Wio0gctmH&pJVn_Y^7CX*O`{NIZ?G_!YS@pf4!8=0q|9IWGnf zu=;?tM~u9P?Rf6M*9Y`D3E?5&!CC~P6GG0RfLI|a6XHlaLP9V0EV(gP6;LU*Me8y> zV~Wu#?#>j4GE<=Ugn=pqad&CtjABSs0nm-1`V!@FV#&G~$p^XN%n)nyR!bo7H~SRgNExDZ2H1RS z==uNIdmHFVj_O==cb}HjqmgG?8qHW3|CBWHL>?PBV4IlmSJKEvIKJfAjLF0?$r*=7 z9CL3nA;vNPTe2`RF^TYX-kaRyk>kN(4G!T>5|Zouyq9A$VNJ4p^9G(t{IbZ4$YOCF zE^sG2NE}1peP8vDPV1c0eWcNhC*f}CbXV=#wRhFtRn_&^)h-B*LO_37Nsw^&%fu?k z(NPFcXbhZ)%hO~Gm(Vcs@$d=lIP>J$9hwMg$(VHsCV8qetMCNGc=+XV+Y(RWc-=@? z$}~?xj)l-fXq!0T6u&bF^#a~b4KCd9s=SoK{RxG|$i=o%Glv30DNfaDI5+v$Widuy zAi*?Tfzp;Z94i?0jK>FiIgpCneVkVbyClsF3b$v*fwsmtk;Gl;nsXvfy4AD zHOk)2GlK4K;JVaYvI$*hcowF9Zu%R{-KGKCmeU2ivEu*xaZeVi;PhmmJ@Kdyr*yUh1l#WFl(JMh^=dj1+>Tp7jj!3WstJq0k!(Fyr%f-jHa192B)aB>`-pS5C_KZ`p29NV^iRVG{-eBat=EsbQz9I{H9n!de2&a3k?r|%UiK9&$1D^Ys{ehqMe0y|H z#3FV4H${(K?*;hqp_QS(pO4dH$SKXOrKeaS1tg4I28IMVaY+p2oeDB^fI@jMg&ZrU z9AJ293rLO6zNuZ!WOG0khCYf&PhdLYV=tOy+;aE`6gqZ?=qyRsiaqhXaH<*hd*=F4 zBcJ&}d;l^GLcQ)Lj0w-ji;DmJBHups<46Q1`NPL6QmuYCF3=@nja+jQZ3Gf555GMc zK6HKjyx?bEqGzD5=^&DOuR?M*Y`~5>D@j!xoGN$Kf;~msM4~j)&hXY-@ifw{bA$Xi zZge!UP^q}(Up1niUmER5c#2O<6drSE!pdWOqj>g(==E-aO+IAjMxWVdW#w;)Uj&{ib`zFQ}uvkXPVWgMg*?)?B_ySbYx!Pau!+=o0#Z zF?xeVl+T8?(X#hvVyt%`c6B>vOD{(IW-CHC1IRcHX|n1OKZD$Z zXhJZrI9|@`;ksPMG`)^;W+jjKk4rn{D0Qtd5( zaRYmrG@*|N(5$9uHcA+sm0--&bdclp&;h@>s5;o;U4MUzR4b+_?+V`3%V^$j-ny-M_k()ejVba~X z(r0%cy$Inq<3)`}?{%{EuJAHBjPZ@!fq=WmyT?AuA#oAomBrCgI@FWb7m=NSdSn4m z1}0G~-K_x?1{h*fA(-W9!5sb(G1$&$#E-i;q$~ns@DYyi*i#}4cMhNtjA<=7GT~Lc zZ>4{U(@XFFW3OR8gwW}+z_?-S@V-FyUF=^r^5}>xd;qEGe-)nV{y^Z)A1;!~Fmq~L zj?BNuaA?(BnTspwwr3H5!I|tHoZ%^%!c!HI5&)1nmF6|o8_6;mm4YEfPFxa2d2daS zLl9XAIaV|!!0^%*kT-s-)h%>uR?s54m(3Wt49xuY>e$kEJh7nU8;%6S3&oz;g9Af; zbt^W~TvFH1{$Plw=>AYXjd^6MfB8?h8S`s1Xm_6oeEHqi;^@*N@u@zHUj&10blSu? z`{REY^CI=uH(EJx3KJR+Z5xwqdtJ-Frl=dz6)U7G$z10#967c?PPwKfpv|dmm|hU% zzh1B$H%d4lqs>Z>54oqGFAcl1%hs(^_bY5WgD2{MJg<2H-W;FByBW({wC2Xfjf=yF z8&va~k| zk1(GkO(U~2P5>#I`1$>~z?|p+n^^mGXDB>g5l{w`;u|jepkN5znGDpqFby2~e%%1M z1fffrfq{6AL7!9kndX@a+e=btl8BXz5V&jZ;`Wb46F5+db|k2&gOH>&ir*BYta}Cv zb==D~ozel<1(-^XhIqdo$1>qIOH#uNnIa`2Y)rQ22-pp!!)z#)ixgLtBtATfK4P6- zuY7o8oGQo1N!}4KAxzz32`+=Ce>=4P=N?Y5M?JP!WgUVga9l)e5l$TS1e|_wptu?* zChYD&>MamW;&qjQ2q8Erp1C_ssDc4rCF?j&5 zK{n2h2KABXP4a%&PpNB*M{#3NhV1K5ioP=ASJ02bD|bLoagw(js&a~7OT%ka^23qm ze*la0gg-Vo8*eLSiML_K>rWl(9J(-#N$%s)UYa(RO_mhi6+cOAV(D%RFvO-p0+ME! zv9~wGnttE*pWj2RA<29 z71_0ODvG{@>BRc>ez^`szzC-j%K%9~_Am;<{3U@2|GQ_c44~#qgC|=as@)^p!;o5j^tS}QZ zX=CV7e^~ZhP>~OP7iG=_ zp$x5f(MKD7Vt(O+sJ3^BZAJq@^t-RMQFL#GQH!C0-~%5=VZfkibm)+k@l%wAx#3H2 zc?LqJ7scWjn8z6Ua-zY z#iFgqd+bZxYOCG=&)qS(4xrEV3cMgtyA(xbC~Z56d7ay#tlb*{T@$Gq?!<9b^EexJ zO+bN{CEr2jND;U=Zt+|Dga)b|ELfqW zxIxltDE6Z|9)X~ZCckqUI;ks{U{9BG{@y)G(i(mmS2*W)?}>0HZwVw7YhKKB5#<&# zWx0!%eYe&+=BOFF3BhKJb-GSFRC?(!F$N=fjJ&xJ zVXS=a0jCtGeRsXwI9Kr{rm=A^q!VdeGO*VzaHI>UrEmoJev*l>+8Wp=5eu_f{xwx$ zxzb+j3OW=CJfvAL7Qt zT58y~$B2mYV6gdFRId>qZ8B;T1*ryj(k2Z;opVbO-rpEwa0$dE&w{Ek<_`ZsOe8!3 zjag!s#L*PBS@%X6qc~+9sB8yZJV(|cAVVb&sLa0(2bEwP{mScb``MWr!K0h}tdx!qwJLeDxvm=?b2M-wc0)$HoR0)OHU_ z$LOMaAarBr@X89mf?}i>7_7G9{I*B1{}7A?ZT^F(`7gNebyHI$@ zud6SvDYB%3-jLWHfC{FKl(b2)v2?cu7-GrPRSb}Z4s+>XWDjF_FlYOHd*lL+1WUoj z+`mcl;X|xz#U86lsOCa{=(@{sbo+OweXO<)1qU9(ZiZ*^UAZ5;7(4z~f-k5yx<&T< zNAVgx=Cxu@Z56$C%99K3K;!b@_5LjozwN5PJJdK|ypL?%65M|imMfSZgI|_gOHZ*v z3P`3Hy95lwQ{`HEoNAJ5ELI1~`GV52wA%Eq^zVd6^C6O?yz^mbfwShy3Wz{zdT7 zE4*s;$i-WRqj_D73tOv@4(mcbVWjW;Ei-eaD&vuVe8=_X;LS783) z&CZhUP;0l^iU>Fc#`chdcGg80*SZxD94ZLgK#<09lG_FtAPUPeF7+i;gHUlgl8L{D zN^}=cXcK!GG?3*vcXzxOAiu zyWC+fO6JNDcr{QQA9pchwbvO7J?=6ikZgTWmbT58$n@#@5}BvQyugB5wlmNdf!Z$v z#nT*vtQDNyFu3$X@WEb5g#w%96` zz6oAjDQP$dHtR2Yy2Z@F0)rJ(Lo*LEB51T|6^2}j?)8%og)$y< z;g)#m*=#6NQB+2-z65D6MZAQiEeY=stSvZaR=mO*XRNk#McjWH6P%3}x@kflyaFmO z0Lc<#KIFO6ofm5+<69(g?bZcj{1Q#FrOetWFZ1z9JpN+eA6+-o}q)}BCzcNFRgnPDQ zLdI77Tv5i&((U7y3oyi{LL6y|akbtep2tX~kceGc<^D(R#DJMcwgxh|6A#xa=J1!d zOLXT)6)o(%Z(jcbnZExG^J@7axXL9~YE4W}Sgg-s<B_?>WzD$K%8Eh%UfQht4U@g{4#}DdOGWjAw|$cpdmr3TuV>vUA2qJ zP;?Xl3qg)mVim(kZ2`F~t;xanwG%yy`3QI{DIfXBu|Cf+_k)xlynViegY?>G0RFj~Qg<_uti! zW3!&?f;n4YI;D9R%*=0VtjQbc@e?F&LpEmILQt_CDo|mR!oXyNQApEM;L=vp6J1OM zu`Ez5Cas`y>y&s8qT_f9a~iVOxE2v38b^d?cuT1MyD*~pHP5d!FT&(v6BTRL%^bJ# z>Ef<|xN+P3-a&+4-#CElNfeJ1xO>?Cy7g9m3=Q#F2Bhqq1Q;Qy=ckiAi=8dt!#2@X zjY{ltUQ`%^I&&FJgOAIJ-iVhW4?w16H;RqACS*l7mZh1DU<$6)R9i0BvPas`jN*IU z5lM1J?0OWj+)8ZW!NfusVydA@55VAuw`M)#Rp~trlluKurHZ?HW zhCT!PP&#KQHUOE>HE}Hq3Od$n&}YF*!9Bv-!q^_ov=!KPn%*#PmJ?J4CTe>CgSOTF z3g!+y%~6L-Bk!~F0nF@S*c#rAFx@(BUz2?=j;;-!1=+Ky0x72-M)mn(1%yH@J zVOMEoT1;cmy1v*LRt^BG8eRD?ipp0li`Ll%-`DN}saK}ak_0v_FLlfSjn9Iw_(_r@ zOLtpIx>IK=i}~D)#Atu=4s!bm2?B9!_e2@d@J^qy@KPu;Sz0R%}xIv;P3yd>a#o zhqt;sCLBcj@GkQe-=HXPGUNt#PNjKG^-4lcMPMP#kRT_H2pC3r&n1Y6Lf}UD9IM14 zhOycLa>nm>8UdM-mfNQdOu>>=ee4vh%l8p4x+**(b2c9>dmP z`4?rOSw#ou4fjh_f6BW|Z@vy|fHy!=gotT6$fpntLfu~A`v%01_j^Me033pspOnCz z)r3vLvL_N1+{WSxqjnB7UT-T0F8ggP5^E68)z;p@I?^n< zYYT~b>pU)A=&uZ^%Gc%_+{PQ|R&Q$SQ$G}qMO!>i_JjC|Xu+?ey;tFEV$U#-635Z$ z@%Nd?CHS9q)YHiNPE&RJVK7^H_8~o^C@6Gm~Ej3@W5H|&M748%e&vw^8) zaem@Nnzj*FN|=13pt*>d`b!OgCNnGIIToEgeVx&(*dQ8N^_-q7OIO46z$mY}xPn+L zmusDAo+1YG6j7S|G*r3M@pHjJ>q zbLla73?Iql0&Fk965#rCP3#^AtX5U}Vn9!0wo}5=@N?l#9TkEaG&`-cq=nQBBspQu z@lJi}aJ^r`hbH9t>157JS^HvG83}aRTWa)S*b0L=y&D+~EV^X=t@m8*`@@Y3BCYd- zM-7tnx11GJnp+lu&+m@p=+;>bTLT<<8-M5-j6@D^LHu8W<4BfAhd=#`N_om+MYfkG zmPCeS?Fd=Dq%2LwkE;e_D~S`vmTq#$1sD;V3bBq9m{=?p*boL_q$(XnfXMdxS4A3g z9~e)?USHoO(c>53P1DyRJvRrgQr~X+wrJ%cEUfj~zztguR9v5unZD+@xM8cm>kya`lgq6EqC_EGN#+>06xzj!c8SzWM!Q5U zM_1Riz}m)7TQ>?Ot+4)x5%1UzuemZLR-O&8%Hj#$GWQn5OwJ2Fyu0Y{uY_Yyh+mP< zg-6g3TQcrBpDH)v;W>I9=0P~l{wu%E=nif-m{|01`7tY-4QxU;5lY!Do{q5WiMWP| zVOcMS*cKWS#^YF=)q-XxifTZzw}e(WGQSK5Y_T|fH8H3~GdKxVZs99#>jXAG*7z92 zSk#UA0Gl&hZFOucrXgeSSCI+k9J?_eX9`T~)NfwHY){I7Tee#O287DdEc^JRLc;~{ z^;kp%44`B zzI95+giy`ZU3(Cw0Qq8d4AwbLnf;oXQj_F>U3Z9ATVYQ-EfDBiyGna#^-*76#cql# zr?^fW)56s$Y$Lex_ayUNZR#~}xK))D(Rwe<24lAXY(wh;s#7Q}o{fEWnRmqxkHTg@ zI%1)nio4kpO<=Jm-U69{TX1Wfi4aT^CIQ^xl0mCGLvD)0mU3B_?EW68OHI%%NnVK6 zvJCFdTyV7DThXm<+vS|P z-d9HOzA>kV6Yz3IPOIz&cgz`ejz z6>Mk>-xW%|fl)7ngM-%pzxOT3!53m169Q76Ex9G*T#^&9y^ydJm4YEfrrZ{CrMp!c ziAurEze4V$B`H%5Fub(N$XfwIBKh+0vg^JoX@~v<4d{@^E%`l_CIjx8l|k?Ni^)XH zZR4T5Jv7mY=V2M=k?sEN3#gYT-!FQ&x!sd^lkm7SZtll7A>VFgdLG}M&6J&_-Er!6 zajUK4XaxE{8G6T7;@JpBT>gU*6stZXyY|AD@g$#Cm1ap^2T7#lPzG5M&%`lCrvl+m zmhnP(PquGo@5{-Fme#;R>vC@*u7_OM)|44B=eyD+H-gKnM#A9K4Ip>~3N=2OMs5Z? zbs37w7Ucb17?nIxMR#_mXXML%FScxz<@N&O)sLrHkiNP)Z?f_#aQd6~i`f0Mt@O{O z+r&lcY$t&QECU0Jn9Nt=P*>P9B24}!L&Y0`3lywm0ZVdD0N$^{`^z%xb5`nhbekq& z038q$x~2i4F)XBxg1brDBP9*$th>_q|+m^CU*Q`SntNCi4$l8b>UOA@du zE{W2Hx5N}ey+dLcp)i%mHlT)|(&5BbP`RF0O}K4bEc#$ifu$wimzu@Yunw^=>>`$W zu0lUf?2u*Ife`K(WpA>_th7~GLQ(GAtf=pr#bOiVrIx?PF zZhKHjcM`HJgcaYms1l^)bsB(e2G0d_6YFio4Q7Q}hTm&ybQr zBK#D;T=ZN31J4@NT=6=y;0yAOBNc+$Ge%6{FxWpL z%D|pfQU$4NTEW1@!uL`Ipxm71W2h04vxiEGQ-NZqkExofXOW~NW&~=b_y7?>&07he z&Rdc&jahw#n^7%(SpYDWJXdpT>B+@Q#C9h^PaAPeuPJkc9%5t`gqspK44TB$b#Q_3 z)nOxbWD+K;jug@1o)I(5KrBTo+|i}0YVcN=ON$WPC$Iv51G%vXJ6vaXmd!GQ*9Wnp zuUNGOqBHR}u#sorSPxGw2%kwXotalVqc;mn6(vB;WL}eE3+^nD8JH}pHTaTWzYDaU zTUWx5fO892<_(j?gypIOk==lVmVqp^taXHxgQX`8PU)g@PN5)9TwvPdK= zg*LIMchUG>8NL5ISPJ?{WaP;6xyfFZ=SL?|llDsV;!%-1eZ5?j*gWPDzv# zm&R&Se;QD5S0AiK3@OrN!Kw7;WURG<%6a>ZSdh7ivE`5MUX8@ar4U>d!gK(NojOF9 zXcICQFBd2sTRJP-B$@)|BqxOLy&Ef~bNCA6!GVVS{sI4*`cwOG@Hdi`rOdG?xzvX- z(!Fj}%z@APf$~&U06DBg{Ub7fw=55T4T}dE&sLR2g$h~Cz9G+4nU=s{T=z)n z!=pRJhC`;tPyUNS-LYx<^z}^9I>5-@Rch;or0^fQFA7c!TCCFShL6c#4o3U5|3gy0 zPNY5=P5D@e4XgVCBm1dk7d0!&Mg5 zRp;4qv0QEt>KlxgMa%R@1G(}olOA>+>nyym`V@AgScQ9n1cBv+$FO7Hn%gx&|N3-| z-13PWx3aXUlk70)3j=NPxH#l{=~}^d&Z#WJ>2TF#m=cFYi{o@kyI^j8Hm3LRSc|sY z?^DO(qq_^s#()Pd4ibiYF`j9{vHcH;XcF4vjVlF!D+2ck4IYM>0(%A)ydhLu&}s0Q zzMC^GpjZCT@MK1-nG243tEZ!z2cD%sMW>?_m~h;P(OO(dUHw$nD4cn>Ajdq|cnIo$ zle|gs>~$49wiDcjh%!*{0uvM+h~iEZ6UT7d7oth=?*scyhyF5-+Ld6n+;t_{+<@e* zrfzZkfoD3_1fgP(krTk7t(P9yKly7n?eY?vU9B zyUo-noD5^N4S5D`%x^gyoM#(MM}bJ-87O2OMXe%lu`odjN#~>2!i8nEf3Hd01Mc4B z)oz=7p4^27-42h7h6=ku#xvt8x_5q2{z{BAw#k!vP;{67t2~s;Al7<}acH|2+W&%y ztCef5$ctQT{UVBxqEp0lGKE7~q6yESB;k-G8)XsDJ z6=FZz#L#NHKidE833m((x^2uVAj>TY+ccGtOp&%Li6bLL5hw$5;!^6=E*V7{P;keW zpAc$DktPdHrN1q}5}OKX&*WhSBU1XHq_-Q`cJ3h6{pX8({K#43bpYwwtV0yj?-Js~-y#ho7C0=0ZR1$49LE)MKxK zkV{0lF)`zr231Rf9Ivi1XsB};I4;e@rM@)AfqK{Odh`lR z{WR|%7i{WoR$=p?jxNpMDLetI`=T4X{XtDeT86DOPC1+cN%X&9KjUpE#!Ihb1e(@( zf9);1hFBnWlL3h@11%#s>*3VOy5YgL7{*~N%Bz`yQy*rvpT^ch#dmP(#NglJ&gDFV zyBrMhN^>$|5wS#-0Tj%luGm?lr1ckhjlmBXgu>zD?|(v&s6bO?m>zUO4Q5Z&Hu?}p zj}mFIn>=pV=<`^)$0Rz^j9W?Klotm2)&NcR=DNDnEhaEf zYq`-B&|CWpLUrVVXSG+YO(9x6jmiLnpLhnBa|W2O+LvTCjYfm>T9`TZ&HwIs)VDX2&G7z>4+@1|(AVVjDS*6_xO^`zsc=v#Tc?>(d$wG7`zHrY+MnDVs6s%k#exD0ZLCQq+0unnIMN* zk65JdE(!-wteXhLeSB{yQ+JB7pWE(BWoHyFFQIaJqwXk|sQMN>KP%h9F$`NL?6(GF zcrVh+BrAU9fTUw|ir1qb@#WZoYvV&*5Y2I@4q)O6i#pfXz80QoZnL~uib*x4^z=YN zvO-|WNRZ=|#%Pm<1Qr9^ij>t%YD(ag(b9m9S&AgwZ;T~fn^-a`1c-IB{DxGZcAq(i z^YH90Y2NU&=;%Ii-m=+^Nu;@1@$Cd2g>U^~^p;+X6L(Jz7{Ra=B(KGOH56X%hPU{Y zf+C^y-@~a(U%D9mqi3Y<<xL)_{6*0PksBybxV;6bNFb$*?VNhS*e7mYyC+NLB<) znI%DvSGO3Y>TQjvt)6ms-HV#a+;0qQ=V-I@p)_VyXNHCorw}^I+k>)-$*{U@0tz4|u^;v)_noZ&y||q<=jx^5n(nm%VfNv2r$>PNAF5 zabpa*J>G0AYHO@D#^BJ=EErLp1l7dtG3i1b1&EZyT8rAe1fNc#cQt_s>w*w9!idH- z!(DA0Kg8gM%Q_4@`(QRxWU%foM^5@iYb$I*XyBJV%;^`;wTBYUazdaFYjesLlGGS( zx}K|fzIY2wBKlpaQrDe@zXz}=5?dex5bWjXG?H^$imfGN887IaV^UW| z<1lQBu$UzzsJ;-7*}#WcS)zv1O~SnuuNGZ%Y~@6zF-QXh}fcX@RDO)&>{_a#cw5 zOR>~d3?gOo?j$V)aJTj-Hf}nxUm0QIWf&WD=WfOd`=4%)8r+MyC?r|u5r9Uy6i#XrPduJQ3Sg%73%l?Te-9LR zYPYO=wbhVKC!IOFFt8Mq6gdmV7Fo4RM&Zav-W{g$tdhc@jF6MD*2b{9HEKymyIk-I ziF3e6eZ-c*=*yu(J{<9{;UTezfMM%|?0+%p;1k1216qiUe(-Dke&p}8O9!s>W&Ys6 zr1|s%h`WsAm@sU0LtaESh+hg*Ty3@2U5!_3k4^;5N4L9)E|)hPKU?3rQ)L9Jt?nxU zHF9%h4tK?mXZ*xskmR;PFY`cl(HTR^z>=U^e7d@#aB~f`xFO?-F zr&znqtJ!ecp%lFqOV=z)MB_~h>ff~+)o^%1iF5;0xm3LAJ@Vq9cAg9#?zbmrYJCz8 zuCBJmq>jGJrRgOBDmHVNA^u-cl)=zeRK*{OTfjv*`^ zJp&6hi?C%3lw9RKgYhw>jm?=Wav8d#!>wmlClF?ma1(RI#CtVA=*&c{OZUk)tP+Sq1ObZ|5l)pYlST%QD4-j`Jx&Or|$@GWPFgIMF}3A=31R74qyzOV~WlNK#FdINk(nS^-q zV03y*%UO>YuTI-(xiB1LKW(#Njj}qhHyv;rM=kamwJ>3UQ&zw-$@$rGvktjQ0d|&* z38kNH0IQ4ys=T55R5zNf2Z3+H$aMj&dM$q4AXn@<5LvuI16~Q#u^m?B@x;f(uf{hi z(b>AMiauKsV>zA83UfF(iDW67wSEIf_>u%$cw@JU_D9QVp=`(Bz~5jcjax|ETA>cs z=pu=2MaJ&VscWZOi-Bkd0r=L#>e}FQ)xTOcJEzceM)!t2H6n5Rm!X`mYzc2twybYe zrL_v79+^RThc_R7aZq;EF7fvF{UPb?VDrDqae3>6A9q_m?P@TN5ygPV`#cC9#1U$E zfo6`x8vv$D&j&BY-9PP%y&#tG=#2QaAKC!N&5BaEcZx+4c%uskEzF0nr#P~ZjeuTaP{i5JiXqZ1eA4=sS;pYCpk~fo#XE0*+0_dx2 z^ZjvaP(O+${Zl{VqFwoU)#H12MrJi{z$?QtOsY~#Y^o`xrz?q}B1kfgUoqgURV77^ zSM2Q|t(X@=&01DTIZ)v9GTM#rFdyEI&mdq-&m1iO@BrSN_<7`nIw%6;8CkqaJhS9^ z(Py?>!LSu?)`%Y39tN{lL~1JVfy?Bo*i$$RM6GD8&vOrPBk1{1kRyqD8D9CA%g3@Q*0%^`*Pcq=d&&>z};)xMrR>i`TTBk%I%7{6;WL(Ih5PaQZNsucqg)s^P%jOmf zBQx;NZ5UuWj&tP_JmPtKbgLvEcpL*oEmZ(!wI^zp^24lpEYI-u90(AsN^i@0ksl98-1go@1k zD*;oMPJeyhvt7%MZO6kr=~wX^LBlz31ZFM;KQu;ytFUqkMI-VwN`#MCfhw*yL<6!C z8`r{1aP`Q;@OFsrwgQwF2aBZa7r&nPyWfmJD59JAOwwoq<-~wo8708aBn<`qXBEt* zOS7lT5xR+?AKQ+M$R4exn6CE;gM$L7!w4(maPXvH=#@@Ry|W6XY-cSzF~IN(2qIN% ziUiLQi%scMT(`UNHGXc-p)6!(9HJXQ<8A`zCh#~77Rcdg!%=w!_6Dv@i_vhYCi@36 zi*#kJw9dN+7JP#zqW!SJ9E3XJ=6}HQ+KuoJr5)S99ZWi4#~!lY{`qKdCEF0;V&F1? zq;Z0hZPr{#m+GuUYy`GeoMR`3Z8CAG5SrURa*?`&hv@Y4lb%786aS71>y3}t!7 zldc@tPhn1D%BTEOYH0$uN$Swa5KTzCd=o*pVcpIwtPa#?Plzm8oleeAE z6qkT!r1>-!(fhwF^?D5v4r0|7Fm`mn=kDRhcioXFka<%EWx4G^AzeuvCvxiw!!~~A z=ftJd)I%;oX+YOI&%nsBqQU^mOIv^?HWh-Gg(ZCYm~Z9HcgalkxTh+wv5k-3X?swx zO!N(072G#@;6uv`fBHK4MtsW@zv$=_L$H~(Ky_>GWo;=M_k`|}^iX-Us|qI>Wt z&!_BhJdNkG1vaL!Gbqq2cDHXo^2S-I9>=itffqW;E$oFQ#;^* z(xmQn4kgx4MvL7k%0lLyIY>1Yhzbtd8J{v`ktRg@#G2b#9;w)fmT?eR+;?C0)u#jlwv#I&9z%l)aPVu$#r~jq5un( zcr`pvlslB?%9Gxib0M||GiV`$vmEG3Aus647@uY`@Pr(r6heqoAwY_HVhwAo#kq!X zNT5)ztEcn<}Wq^EQ%20OTxP~Q9wm`+GY>cFAjh)M> z9GBVnp&M()O-jHfd2pmAkL&1DV6h|^7m^mMIuU9x>wHEkEAm zmT*0O$qWNB-ka$tPgzlMVwhoaSe(f!K68wiZtze{XcgnUl!Jvk4KPYiD#S$3 zV0d{Dec%4~VA18N`C$37^Dw-`SfL!SF=p{JiwG0Xo$0wq6)tseW@Zq@&OF(1Jqmj5ov$I)jp{o)xZ0c5VJ=zs6}auAtQ`}l6F zhsB1a+R5plxr}kst~)ug2%s92jx@I&71A?Fs#S9A2xvi+0XcCgrOPBdN(3ofVtDTk z*#`nvO$lOUgq(~-lbMa<;xKn?UnqNa$YAv!#5SKCh(?2JWc8>za_Ito@Cd9n>-9$i z`0``2Nm%X85>5UNEO-i&2J_TjISa<#4$(?W zNryP=LitCTkQY})AJvmGJ*_ti9#Hp`^RWF#|1&<+dL5&Wc*ikpwTxt8CxiFIR zEDkfi4wxyU4HQ`_fi?MIvqp(wwBZ-JJPCk(kX@0R>fdM>Y%7%qEWHXOP&^f2h5~}XqA5jfRy(Pd1Lhy zt>KP+)V(O=E0+Za8bfH@>ZYf{zE|G;el(w0uBqKBb$vE2Jy<4CxzS+8AFn97B>e3l z6%NaNR)XNzZjna3=cOgJ)otQi&bpSF6$E_-3*?li=> zywQ>6wg-h2laN~xCh~O%I?6w?6xJkG%;`!0X-Xe_<3j|OlS6c@$Y}M)smJ)DD4QL@YIPmmLbAErH zhlSFXBrnayZK+}=qZI7l?7%d~B~q(4ccM_ThC&EeTOWc11UQRkV$dR8n{>&h80^lQ=Z;qoAD$kkTG zYAaOnPw|`Sw5kK=(0@czd+Tne^fW-k&W3i?SLS+Z#w8frSiNTU!Lf^_A+uO*bq#SD zhYf`1G}n84?VWV9DM#)@=L1Ze?6Hhaz~%R{dZ5T@gws6GE0sBD+9bBxrr4O8f5175 zQZb5OqeIcRZc|%_0MO^bqB=0K0>Q$9Wbp$SUMN)sQ;VApScl#a*eNvyMD z9N93+IDSYEYg9b=;ZQ{IevC_{VbRN_jI9G?lBgIa5zJvO{^J}QkA*3Y>hgvoqnWoY zj#*1?dr*iKLsq$z`N&ndHW16D40pv32}s1z1{Lu0!LJWS8)k4B_ zfTRSj!IG9Gp&zIZ2IK{>Uf|21i9hk74#P_>w^_<^VtXY?vPZ6+0RzJ}UMb}vIFt&( zGK8$)R}PORgzOO_)6z^5ka5TbaPws*r0H3aRg6XuJ4vxUG~`$Z2cZZz6w`XGVjE&G zZH3>I*f@Z1eny~D7B~K_z=ODPk}Wktos@z;KPF|BR8o@{!15An6#-PBOV4WFfayUY z-ATy55SX$yafLC81NRpPpQ+F_Vr{FOoToM5wB5Fc0(aU%HpF1FQ^rcr20ayh#!@ze z*|Q$q4i6KFQJq1>5MiN5xfo+*wYiPO;>iXqW|T18a5M7ouwGg2q_N7@#LZR`8-sG< zFs^PfqWje{D8SF)=Xiy5xty#9ILtY8NfRu5VzO~7g(f;K$uo@8-tKvcNC7ysJh`aw zq!nz*yaCot1yQV}hjcxOeKJlToAG$I9o!k&C7fH05PDFwjt;CMm2<$#8~0EY3?07FcBZhKHjcM`HJgdvxN7Uh!H*#Xm0Ox|jmsk>b>%y2r#Pv6f@e^xn1~&w-XM}C z@B&Z{_NzYTqS^6PJ37SXUV19&9Ykse+~%X&QwO?ro~(8^r|VzDyzsnNnCMC12^;D zuDH$Qeg=3&6Vs;e?|g&9Q}7j%KT?(BAM9mbKnDly`=T+OgCXDHKj!!MiRRukH-{ScyGRPS-evq(ewStyeH=H^aA|9f)p^|oC9h? znJU&GLq{)QNW{9qGG*~2WE^qQ-4V#sA+})BMhz+CP5`5wh`^i4}cS+u|ltt+lhZ2!4 zc;Icw!ZXA1B>%nNuteIg_WRhIX2a;2zF2_{oy%;7&Q@12Rp5!Wvx6M+O)5@C}&bKk8ev@Hq*G{}55hsTk^d5(})ypWZ$ej($H* zRd15D$Y)<^!Us;^{f%q?SmcYBL%?@E6^1>v2WN+Vxe|moy?V=sWQ>Zu75xu}8xr)3w{(494J zM>CkSCO)q_H9C8f{GEmP30U}%EfV~!7a6$AU}5kZ7m2Ue8?kS*56IK|SdaR!)6)wN zjhiuSE~Fttc@phGv{Neb00_b%9W^LL0PC*tB{U*95`+;-0i#pAlmQ;*d~kn7O#kR5 zkutVlg8H)f5i${y0_oC2d(9Y zr53$bZ1c9oD46~J<%R+CsVrr9L;8*dK8|~V$1g;RpTUFsbLVdf`Q=Bzirr`AHMfYV zeSRWPAgsm3B`D1;6*6Lo&BW5<0?m_?5orK z3(g&1ltout5M@rcAHssl_YFxY(XmF*NPx}@yS8Ouyedw{ z(knuYT41Mf&(}GNNXA8^OU9>ZUT#7}M+yNR9M}{xT^EI@R)8@)zID|T7#YP&TXj6R zm4{oo+&6<}U<~Z+dB;qTe0mbL4x;ABI*88(DKw*4kprNoYItwBD_z}SlKTx|DlCoT zfywcZRp`@V?D+T~D{Z`pFG_2g7A{zG!GwPc0?rCh@h)=SO8_Ops=#>tO_oDv#5z+S z314N|wyEf`uofyhs%RZ8 z2;jlf*26OpI|Fg4W0G;FvAKllQor$ft0>u-`W1N~ib)>uzWxqF-Ka#T2+N#ul5u_6)WyVlUM@oo%IB zQM|f|-!m0?jv&r{N8u5$$`Ghp3>~Ea6&VsyRpe~(BV-(M0W8F+5Nk>IV|=CRGa){t zi0`EYlSuitFEe{$4{r^^ z|AtR|T=UrvKnRp*aS@75bK8SL3P{MU5V*q8CN7PU*(If5q6(pbhOIs2h>~(pM(=22 ze60~W*@?qM$Lbp(n(bMR)oZMMXt zUKfHR@`T8F(l_qwBe6b;#d`jA^Z%zbBcBj4c#Asbac3srZ zEiuaRJW?=+b1Umy1eJMT6qd39)LaTaqI-A7WCs0DO=37Thjp}m2@|Cmpm|sr(}`{` zDOQZ~z@Bv6z~u4mJ_8hzs^mA#V0h?`a5rq$PQM zf+;sE#d=n8mBneiXIITO>$+Aiq5)&OqNvnK7P&V>1iL0skO)qybHNgZ4&V8TF6gHj zk|8b^bz#pT7b$3B6_61F|!y4GIonfU#QmUHk zaXFctSZol7a#IMlFmz3zVd*afEe!1z7{`jUelv({uvj@XfPwtpacoxeYEW4*=wuKK zeq&e%=Xg-zli0&@G|6}sFPqNJi=6C>Jo~l@x7r#%T;EGUAGCXQU(7qzJhI4P>)Wd7 z`^ViyW0#G{+fbT5(T8v8R6)o?;{l3+lHCala~YO?cRh<2m$G(wvH5sa2C`@yI(h*^ zA}WblviK1)4!Hp89zu+g#PYK6#*yo5pV?w`e3w*$w}|)A&+JnM6hk1xSZ!U9ho)u+ zuefWAz4dE@lqg(noy4%U`bY0TjXEXc_B;CoJ9x1m@F%}qxqRw4|VfAi*K8I~x^OOjhrdI}X%MnVRq&?YXn zNQ+%kic(f2=vWw14oZs7S>mvD%DZ^loib~WAclXTOpW{wW0Zk6KNtNozn9XFRg;%n zF<5Pdl<}L+w~+rqRjj0VdqX zQLq=d@ppfYvwHBcP=YxHA^2*Fcg5{076^2#0GnE~6CNiID>o4B50btF2}5!)m3 z&7eEV7Lt2z?SsqJ+DoVJlSj_8r8zlNiSAQuHwnIh*E*K&uu^fWt&us2byj&<&_rQV za#0Lh)oWIyaqOL}pIE`c$TkNG{i|;{U@8Odzo;U?*b5v6AMnDdCtp={aqh+y0lrSf zNoP4et1z&+(t6NE7l9UdZwkjhOxEB+A!Yp*e-ewRQ)?8@fIQ$y_Asgiw8y<^YB2X0 zR-H!Y1UZbCfxr`nRL<7QxB+jDdgl5iS_EPh0#PiS;#H1SR2P@V_y8%jaP}d|X+O0uEuSjE7E@{ciIn9#9*$K7M@yg<; z)3-{+${fr3eW+mph9V7+1^dxFFzz(U7Eik6`mCeRqIY#**owa?6hJ#sH|U9h(x!!9 zyGDxxFy%5f1c@vZ0H#Z%8P9Au_l7Sqs|`or)QBclCA4h~8N&cdI$Sg(;kc2WA8l_b$m1fJ6e(CUI(FOzcb)|nlR3;8ggnUUM$I1TyY z_B2jXe_JE<=1%h7POL!18i9ji41T51L?lBhCXu`?euRueF2F}fCgkZi`)-NQ?D%>p zCr5T#!6zXfJn}c5Kk8T%T$*@a{ZfX5yZtlFGuS1c9T|#cdUWawSQJHi?7>eC^0iYL z8vc?5i!(ce<^FGpsp~WzzONMfvKhQZvr@5kFbcdyawq~6l=}bu?jXA6Uxuamq1WNt zSg&GkutbM$n#%qfa87BnQ6kNV3GQQ6@-A z6DBOeE#hMR$GUE@OG*LaVo(HUc4Ep6euR{R(vt<`Y<%dc@p!)xi$n9<0 zRGUav~hVstBmUHgQD}io4W5vWV zx?lSc9pFb1+L=>Gu%ea{{tA8L+Xsw9uSQ{eBF42})w@F$2C-)&Xxy<_(<`Sl5|g<$ z=!m=hJx686tuF^L<2OArHKji|!bUgx006%QpWOx}E5@2L4ZcZvWixeeF@kH-e@fsX9 zua;KF&c$)AigON3Hk~g{7@gWvkxC+M{Ko7O0!>}c+u?$O%LcA@$cnDZiH{ZyV@S*1 zjXz7&f+r@_GJbrN0N8mC4bmx6UnHU(s7cCf%9shTK47X?wue9Rwy5V@s5JEJjcdjv zX-*N;6%X!BO4Gh?l*`C+)$RTwV+DH4 zA>Jr0Ep$IK8P`6W%5m?Lx@jmwUa*J6vIK`di&c@QCrAL<_q z)_TAbixQH8bApM3It${liR&3 zJzG&3Uk&f(!XTAOQPC}5``=n&X-hTz71$SIfj z@qiz_9&Vj>O--IW%}IvU%+<|dx&;uAbj5`iB}q)|hpPZAa%C9q;m71y{0Iq$H33va zCgcWC@1J?qu#Bvj(S_N*EwcDBD@M`0m4QV;Hxx#(=h?Yt^C(%n!66%Ej92cnNqS&& zzK=R~68pesE;2Lyzb#$^5%uQKn>w-2OwHa(lB>(5x=cNOtHHaiXw(-jUM2$4?7_dU z1m0_IL8_h^$2YYv3Su?na!dBrrz*1iDV)uI|BNs8QY8LUwJFUMLK3ixAI+IE$)ywm zja&5`FBc0!a;!*E3I-H8RzwimvSf&bj6}$UU#~YYRRfF{{-dfrG9oi>A@nOf2nMtJ zF_GMC$bj|lze9qTK2pJX5jn9M7p+r}z=cqrmQbqM^xpjcT*bZ&H8PgP1Oly<^ zWXAI(585%bw@tb8JRvvv=1g6l@R$7>*7FO7tYD?Q+W|V) z^mtmZ19^rDZRRX?LUF~bH}jUQHUm4Gb?*A@vSqzLvF%W1k(XlqcK(K&&^8?u)P++l%lNlb@Gi;k+ zp-2sk0S*R1CEz}*W4vf~k-c>rQ){5c2H;@4D&OfzQxJz{S5wES1cLWOkfx-3@btJ0 zxGuf^!9|mz2T_1CEmtQ$*TB`mCc#Vq^>XPNOKcn&lFjw9fXxz+q<%6&iz` z!JQs6eQAQ~;ihIGr9z^W?xdo)%oKOy$EMP_ZYITg+(ED@kY=g}X4aMxbuXF)QI%o7 zG~%R$GTV>6)0pJZy?EdrpI=pcS01xYm1b|X!j))mcyvD&Pjb}k3IU?qw=Vg1Cwe-k zEIt~!4f|f+E??v({H@^_Y7QPV{v$j3EceLm=|wfQS0Z^&1RU|Aa_&_pw7LUNgQHgD zYtxGiaL9Jmc<#xL4vXLKyJ0Ifxg*jOS+R8SI$TUr{5u52C|&9n)EAdJWjIr0@QYjv z1xHuFlDA<*%4Myv_z_YL`2gk@McW+4-GBVVcK7+8uyzthvNX3Z$Vd0uu<@CJ6Mnl! z$g>5YR>P|DE0^Jwj9Ro}_s5M{BG$P%f~&I<`fNjCLmqkbGqSj9}?-_Hc8%=;&=8Gd+tTjoJE#Sa&;$Lwpe6 z)DR}QMKS?MnQ2U38vH_KEU-iS7O)5j?BFR(JAH{ciAkK++jYj!LNF+|YsI+;7T3%! z83i3>z$QpZgp|k0!ad`V3&xeZO{-XSocEt}Y;#Te5%ZS@j{zL_;f4-TWk;(g&gPEB;xaP1USSwWGzSR#EEwW{6^C1SXPOheiM)cbGV0}D)uOs?V4(F`kts?}gpYnvVdV&0#d>J8e%7 z>{!D$2AJA$5ohtX6Uw}$$7qKvI;z|y?ghEx4SY3x0qS0kAg-!JY0bc+6on32MaLlN z)9@t1>7tV30_J?(FW6p|?6{a8_GJG5r|xZ_Y^$m>(Yf~7Rkf>b0lV%kpuj7vQ@4Nu zksN+Z5Q)wz3M~-zfLB9&#vBMC#H3%}HcFEibyiVeN$6NGNcw6_azHZrYE1V{HyMxo zykvtU@1_Syt{IrtH@kL0&DB3nJW>9AwpCIYk z3n;8xQv$k1>-g$|~^IXBZ9h#A> zjqeq{Q<7*f0uEb`IPcJg4{B-iS?=xRp1(gq1}fmA74f@7TL>FP5vCYDs-Rf$R+NRV zK8EzeoqDBZN7|DbIz7ArEL>sUs7e6ZIgQhxH}u576mI-NC5cqx^+n>M4ttB zWddG|NsamZ87h(H1UGm?1dVAY_z(oKz;JRNo)|MCvij(*n}kWF1YbJ7XZN8wHnxjI zxnt;I91c#5`ar71;&6G1Y){eUU+!|}PG9Q57g4TNIPCnwfoEVI#b29Xq_ZIqGN9!90cJ(KO~a@T10z_-EzZz1RRT8IRpIz5 zgr4~4hTW62+S7*B>aU^{@0wUk{prr*U|t=cUXfwA&dXCPfkjhVHB|8#5Zg+aq6l+f z4+krxb$JR1$s~d=PYjcjXeL-|v&c#73RK-GkH)b`)BnZ;gE6Fg92M^P{|UlBm7lkH z%SmYlCe!j&hrqGEbQGIx8v7G(ELNhPe)G#RZp7h_*tf7BY5vIm7|YF=|&svR{Z`{?!N<>tQ;*PefvRln*;Sj zG1x|=-C^rjCRlEeXA>``U`V6sGis0CFo}lY)#5kT(Q$D&^I`upai+)cS)A2FL(TWZ z@pr^=lb1@D9XfBSxnv;oi<8ZYzWh zrGQw)Pi9fH9)rE`ZfolwYD=z$!>gLB;#OGnRdD>PsjcW)`P^*#;919*U_0BedpN>s zYkc?nU9`E3W7TfIRM$6bpJp$^-28NFQQFY4)Gp1WIq<~#U^2s*h3*01 zJBUgw(jr*6_Fm9o>kWARKFr33sUs^%V8$F)IKeg&Bg(e4F-sEW4{vwpl{ka%vq-9W zy>3d(-1k7RckUjxI2>Dd5LUNxI}UsKzR1~fybg1^4;$-|<~=Lo7VF&DJp_bC{oUy4 zER94iJ7qQ`xfxfkD#{dc^8fDXL&n4l>hMW|O~NsTt*i!sMpon^_vY8`?AS2HpxvoU zcO<(8m(efA-Nw5`%^Mb2%@P=Egoy!`ue9MyTrdE7D*$>~El_NC12k+jMGOa+8JqU; zRSJRJ(yB1qBZtyk^5|(9jVjpX(TbaQp8}PeW;(5-BUvz0kNX0CyMe*35FWQ{*d)A! z6d5}JDC()54;{pILA7Mog}vqMrBWTfh4I2%s33+8O#3iTWbxR47z7!n+BBh%t?2+# z*%eUoVMMv+QhbIEC@o1=Q$YDLWufW-#>`G8N3W>YJ%lO^yyL94+Mok$V6v)$27P-E&+Psb)%(roGxU-N5Ga$z3fl#oW zvjsGW);ZpJC)?97qU3V9j^h3Q2}RrQT#N+yR9~BpAV)4By#rvA(_rW&xY)^rPgpne zoM6l|kEuyzCd0^TM{a{;5w6o_;>n|f!trvC)_2z#)AWT_$F#Oeutd(t35!*72tT7O zSHdRrOGNDDlTBh{xrET6&=Y~)rgWGfUx}0<4*9|-PehHWD}ii@)TMwr{T;UFLs6Ry z;mOa5EQ`_;;VkZcFLiX?-gF%bLbfak<^TS>rTcZl3U*%8wdz}8+^bY-b- z(dtk@nTEECNZ&iiCHwC3V-|-2_I|ye0jd!l77X)+XBbmqH8K3cmWUP|#mV1v2AC`z zY)!}{!!I4hrGcN}SEl8=Z#fDB2LeUwunq!Sj$f8$@G@d|K;C>{>x+0#Wo3ouh1_;m zT4)No1Xi#QimqT)TP9-HlcK=y^k>L!hbbFVsG_+Fs(}w7lO^5|%|B2)JF%Qf!qb__ zsn{)YIa_0d$Qpj0j#3zSF!CwVDq~_0se}g5Vqke2V+?7ydAIk%f~{e;O0hD6BaP_>=aj=&C& zjGO1J3sMUnR9Rc13wE&V@L6@46$bImny$}L>2&^dsk3KR&f2v_J!*We)(R_jZ} z=ApY>tuALH*j%uQfZ$!td%p|rixI?v{%3&hO?SvEblws_oE#Gv#CI^6=9Z2xIQB6t zfai4{zj4@cxAjIEgIk&P@=okZn59wCG$=Kp^CYq0?Q`)Aw-&E$QG9NNE&%P~1w#A+ z)4!O>@s|HypJcDcdnxCO#!p7*7sfrR@5c>_xX895o1xBb`FSXOfNav^RSXmvkF9H7 z3k5!f0Z3WTlCOcKRkwv);1)RO!CgqI2 z2C@Vx?T3*7E9ic{m|2SMEd~#}u*-v9V4*LP3T0vz4Zoqlh(%lRbQH$hq0kdS`IJ~8 z<3vqvRe#Io9?|Pgmh{4>Cj_5USQ;&e5VJ3sot=g`Mo*Magu&vL;FI(9T%H>)0F$3F ze)a+{5FDHjuew=%I+5w4%ToU~+Gcmg^!)NS`ZDT?h?8%5*)+%>{Sox^Ol}M}oR~}| zKacUvW=$MFx)IdSliQ#W|JG#s&(HVTm>8D>_dqp3(bln-g(1v0k^!UuJu=n;}UuC`I zkv^g?i>(Hp%}v-~gQWAuOd^ekqWIB%9N5y!hlNH!LBmJcL(9h8jie~NGv*tHJ0Oe zBT`<1-{+Qw;^yV6$ZWH*z~RcA?2o3ItrFZbg=r+ycbi~i;$6}E5SO8Dvhj4;-bW|m zo08cKJt}8-Ul$q8@~A~o9}?*HvGUuaoY*gwjvwp%oz=w zVOoM;x^1^1So|^nX|L1lfGR83`?l z^~3*{7~YtGngOh2(G5P#q^7YAv$}v3gptVS3n(%Als*KNmsaUuZMY8}hsj3Ioo{ti z&2=y~1bURKQnL|9utR|nJ+`9!LI&8{N1&HrNUMUm{Ml{7bO>{jvc+$ZmX@2*q()JR zUpSN`hoMy1;viH%D6%)Mw?422q4gxFSx2j=!yR{s>~m3sd&b>?-zVeTMCcFDC>?mk zrmplbE2qm{X@+Wh;%J5~rFg_5Rec5P05*{y$VSA0fO3m@-X)I>j&wuPY0^!Mw77(> zEcTU%qn(}LC4))GYcuSjih@P7U~g1I(I+mF?8tww;tH&7H_8F4DZuY=lmWa4-0pxE zHC_{5+m6o`JaWVLjG_MB^JVaFN!sP#|Hrx8w5Kk(smx-X!hbg zAD`})$O@Tui+gdxc4QTroT*!M+lWkT9KbsF8Ccc+D(a99H*7T?h`bW0Zq^fNPpCnm z01H>SJm?ti<@kr?wz{Mlh93SXaK7qrIc;s}k=H=EkL-y?j$mR#%g1b^MBSFOnV>f?;dEGqOkPr83(El?X;y4LjKN zP15Ka^I}A}F~`h``!{|WTLV!>XUHY?O^R0y9w;m~na5)jYdE2l>CfS|ADGJ>n@&6j zr}FZVWtrUP#Qs2xRu>;X6^`4+#a(-q-xCI*McP5P6hmNqHR-(O%&q&dZd&A%d0o$R?<4Aq-(|CBy@6$bhfo&8Saj-LzxBd{c)Ia)r6JwQZVqhpV zF`d9}h`vH!j0&d;Uz^ri2?dgMRgI8_#8KYi5C;ZKC_~Ue;k)XSXf$;N&e|$M7G^~} zW@ndJDno(gSVpP2H!aKk5~C-i!M%!zLNxd-gl%2e^~%8j4tz8;ltU`wXpv#Fo~>*vzHsF*+fql^}zr9xVEim_*sN#D`5n zNQbu?1Y_LoFkJq(r|RQxZx46d1N!(Uheh0;KG-M%TlnGKz}27)kIoH>pc-HdVRU2y z{v5*`LYkeTgwNa~W~8QVjwV|M4>n$F)DWC#i3DI9%`t3eVxaDXgMybp__BQD4J89N z4b&;_PTDk4U4(l#h-DUn&YHPHWab0TkZgCOH$+%<9ovn_^Gg*d#kfMx9whvdF(}Vq z3mUG1g6%qV>Vc~?a1H-(y4fDTYlyI1El~p z3lj`1;T_OomBxvkK*GZypy&>yodNSZgL*@(*W2;s8tr(Wiz8Rgb4gL)X4iO;gHan} zPL#;WTAV0%GV)suvoiMsMc3pEC^Ec@(Vc2NZ)+VoGRtyXig}>DQDh(Sfr)1U1lUA} zdHtQK*bkK<1MepKu5rAe@iJiHnOq)$xA}7@sM}Dc4`2sNdPyPI4oZ#qWVi8mr(!$G zLA=*ouC~4a)$ic2Yk)G8rNmqte$@cGH)0`M;^`=a>`>^5ps6ac$i!ht$T}Qu@VJvCoc0>qHp{u`I4{jKy5Dneiu~CgQXgLWk}2Tr}nBUpX@#$FMbPeQj)s z2UtdU)y=KVULMQH(e->Ct&YQEzw{4GS^%GFjyOz32Fgx{evUH2uKxIt7 zyWt6=jNEPvJ9rqeN_pplGBBdV4mz2FOQ9#j$;m=y+Unc4CCHchNYlD zr0->3RPKDJE{#pROH{7h0^2Zbb)%Q81uLOp0F=TTJ)}|z^T627t}@X;1j_LIU=!<{NI4hR=n1g%8l zi_Ri{U7POiXKhuzO|m#iBKJP1IzC0C3==}4vT(l5X6LWG8tjjri_Y@oaQbs!x(uoR zCx~XKv0S+&VA$%u^bR=C5X1C5;Jn}`v`kPZAgjUFZO7LVl$Wrh6w8(*8pg_7D6ji20Xtz84n4p+Jiv{M{kSm z);7(Q%|?I-u0Qw?ha^+tc77&43UAE zgXgW1b&lKjioQnQxLqP%D7zk#zQ-qMg7ZO$Y)XV22VTH|Zu;q^i$EK0#geD1IS(fa zsDMzks5cwI51&{397y69rH0@KVMIqlEz9#4rY0K0gy zF%1Yn$wl$Bcstsq%0gsNEFjnmxS?WVv7CSng1l*=P1L@w^o;2PkPFm(10ovwOu#Z< zpt6Q8(rx%{@n%Q|&VviaL0N-U3^vg9-b54d#L8lGdH#ISW!eJ}bfrW6Bk{{1$TU$zH{(z)>2i>Y`z z8L>Y^{pA$>`qk<6wKOc__tMzz^EAYpqv@zs^O9j%PU51u;q3nhR$yoQ>Y*EjYS{tn zxFkN&e!AXni#zzzh^wtRG-|mTw(y>_%kQ2-LqmdVFh>Xgo47h z2-Xf|bSRcLW1$-%`pDxG=>*m&!{I5`;)lnF00WL2MFsGm1kWE!B3g8Z?HYU@0T_lj zuC`ip6Gt9SG_iT<887$9oHP!;CZ6+;QHSjp_xnPgE74A4A+*u{U}D&@8clvd+#CKHOeUIB(zICY-XFq4;3iY) zu4B84V9|+}c$g#AVg z91K20M|`l^biQ7qg3fOVN^397To)J$&p4j8^N0aM?8N}@aPmZij_c$-tRGs*m{=%K zjBt2QYNN%0A|w@wFBr;tP;tN^-aL~Pv?uVTLb{a^0X(iNxf9o%L&rO`PrG%JVXqmIRzl6V1c+*xV}kb){8GWY7Tg{jJ{gKgvfipb;Npo7Ow(4L z!3Fp|euiH)_-d3lRHF=!p*+>I8az?z4*3h2<1Of3ky9SlOf_Jy0#xysD6XMI;2#K< zE6zw`YdbZy#^igW4d(;53_it3ZA;I`Vo~EUV!wsy1bmxO>p+R5h3i02Ofi)Ofhrp~ zmkAKJ1-9kPT~UUqrx^JUF_5nmM)P>KTgQV+Fv5noXj*7<1<>>cL%c{We9xg62NZng zanHJB@NgUbEo9fi=fvpi55_3GbtZC-MJS@8@^lg)B0#DjTo_(jgyDuH+-ArPsiJ#> zAqA`2!r0vv*qq4S5dr#Z(h7{?W-P=c->sYnX%Q1{9Cg6}ai}HmJf^}hg&Nwi63Lg2 zzIQTs5n;PNcO@N=!cz^=3BEs}b{Z;+oaB`F?#N5_O3Kw%Q*8a*Z=Rt80Th${C(3B{ zlw2vl{XuEAxB5FHdh(R|PkL+zhUNRkKxkQheq6?a6PHx~l{oL~=ebYfNst_ujSLh1 zmEeJaFKq|BL|)PCN#JhN;P0}YPCQC1KC)0xTG)5Pz+@pm8b{>px=D7fOxw?!%Co7P zZ~ji|Zprxbl-J{#k7nCt91Bzz&RYNTxT5n$-$vPBJdN}OvqCMhPqEXuXg&#@M&wV`- zyLLpDzVaO`{k;wGf$kO?(%K#Tc#%s+m$@vsi)Ua#Di??W0|6wI2qh__2M{z#M|VWX zQe*N%VlP>alk5|xN`h6+mWOGeNtE@O1vJAKp6()OY%rkPd84};FN!uiEy&vsj^PHj zx6TDC!BMst?{6;1%2!|`Po9Hsls$+XpbL5X1M>n^{23=LLs`!n90pV8cR+_NbQ+_ zoRVx4CeCkyAHLXyH&!xIsRR#(awNkutMRixeG~zdZP9_xq;pA((_A;_()_mTkP)*D#pB0SSBy8!HAwKx8PB#aQd9 zmqmVC!v&%cWd%Fa`ipIWA#gpJboFvC4MsttG(@+W%K-FlTAGIrxMH+5Aa+|6cT5ik zFFF{ZE0zkMT)!6MS158;R&lBO9*UDr2U%^VD{UmB}vpOCG1!}oTxKB!0vsxGxX%K!mnLST~yiis##)p!IhsB)Ua0!Mo;GvMfPqBmztx(M^Xap{k73vqW z@VEt-Y@CZyFFb>9DAu|MF)Pv9d8Yy17K5gmba9HK(2s{R2h7Wdhxy*?;7|5Jg0AXQ zBK5tW#~5W%b>+OO3}8F!!dzmRiqj5?!VK_>ym}8^0H$S$1s=hPR=KOB8jKwTaknso z5QmH7uAWMum_Cs;TU3*29Eg(1hN1Iu(mI}R3lg>WhD>U-Qnz{W_^W~>QKH@A&bxm* zs>Vw{o{q|`GKb!hfR*NtC>>{uTR`)S=!m@rWr0m%l57n5%8vm#>`gmw6y?y~D5=Tj z+dL-jUB}<+IJn8F|08uYPM54mz``g~1JYYyP)9!;Ca7B@U&bsH&hZz!29nNok&Al? z?(|u1$~z+%qP(ySkY6$Us=;ml?m{6iY;Ot60b9dbK=ja$^C z=R{4iz3g7|*)(U^ssL~DADfR8dFU0OOyn)L*;;E1{p(j@2*hFQ4ToipX0HlWb@F!Z z7}_AEIh!3zXPG;XVQYAnf9YRK)H)ogL?=hG@g2;t{nJ_Rx>j zE=Wgat`fO%IzJ~pQ0aFuM6`eyz4aZ+F`n2VloKF16#JH(p)P{SG@qt%A_95#zJZ6; zKcQb0B>P{OHhlWmBl~D0l4EIn-{^yX#AdYu*gWz*+3*RC+u2P1Oh{oFnP5rR(+dUK z3hwQ^0P_&}(sw3R-5!;@W~S=LpOqy;gxp;h=Rb#T7ly4mj*nF?9URm2fivUu@Eals z51{b84+b#pVV4`iZkCGSa5bRDj8tP0*S-=~3i6L;UX;Bjgp#}JS-6(GJZ}9S?tAikm`Mebe${}7cno+@UlhBr@&ThxF z1e=TJ6NeeS_J{MR%4-;B-z+V8cx-PC-!clY>5Mt)K;GLnPb>oh*-zf=cl9uKWap{54Zq50KZpX0M!Jl8oc*~Q4Shj_*Qdj`S-p; z%O@LPs7ydz;vVJ{WfWC;Fhp1%0EUSyKw%`1XPOo@ehW5ma>bry}-3id?!U{Ykn z--1DFN0VG*@b`u22nH;FiKkh!z(vLaiAhat+%K_9iS81KhD<1;R~m&UMID~Fg=&UW z%e0IPa}^lTSAycWp4iCJvrpsv29sd2S@Ho4eav1rgnTFBCrw1b(=$?eLC+Vsqj034 zaRgsbv`H%xGds@(Y)ItM%nylKg+@9ksRiEBQKuf}5=ImpdM1%}Iy^u?hMg8A6}-D& zJcG?QF#Ij^KUZLCsQZkDvgV=7dB+%Zcv%}a=t0vg7oCM&k8AjTN|?t8C`20wiKoDz z)n-9NRwDDvFfBbj_fSTZa6P2U*jV?#d{084o1vA)AP$YJv8dz0+y zi!uLsT+|R5F^k?qt*gjE+=lOPx;1Rghiit=!Jr}9^A_W0j|P9Zs6zvbEq!6w%1HHj zWN#JP&|-Y7i+MB)ekizzSj3$*x^f6sT6(tKO^hd+U(etjJR&s0=;q}~u)PXXm=JWn ziT)UrQ~)gLMS~_Ny*Tuu=II!|-xgb>$k*k}#3%6(!6Rl;9>7VR?Y&|2doP+qOW7n= zvsHZi5$ASihoA^T_OHuL8kRI)XAdqoG!Jb3&@alVy}OpQ11c|H8^fN*O|K(=g(;&f zpWW?54sd|fU%FTxM!VU3)s~c-r=uut8;}lTwl6P;=qc@`Lf{e^R+t^g=a&9R$EHTi7h_2?C_QE)uE;yE3GZ)r2-AOHfl0Y7k}pUv6+_mfgq7=$Mq(U_0_ zz~h6;>n@r9-It{pK8RlNd)Opg#SKPB$M8!iYft1NCQvOn+h-&d2( zqgSK<`aW-koOa;l7`A@Pi!vRV1U0bOm7a+iUB7Iz7UPVcd~wP%l-%Y~%KG={LI=rA z@yy>Z_Nf{19JZEJT5wiFhh3o6h41Mmw}A2e=)70z7jh_5m86UwKsOI-+>Lpq*N((` z;sKQgIk5#M4U!8XeuH-rWCniL!5E_IKj+DLM4X^&DmN51sj_F7q$B zpsDA=uCi~TbN<#V+LOQXhV2!GBnJQ*1lbZGm(1^i{}TwKh%X!#{AwoWoi2DILgmwc zcZa!%Cu(p-)PEGc!S^hvWG9G*{tR~TRSv5ll3}Z#+jDSwp5o2R6YLHJNYS6kW}D-6 z`eJm5L(jwHmB`Cqm%F~6Le?;{ozgiVVYHYJI)})uKXU#0xcs-7M80&FFS;0c^18onNwswD@MdQ!677LsSXKN zm}7tRdjF`dm`q$G8nO)mU4}ex7K8Pyfwt3FyO(?mUO*HZZv&yud`8JB#h?krH@$$6 zR-Pz^YE*M~-jIlA=ND}9P_)PJD1+=>?50H_7GVRyD@1OPksHM_pZEeBV@8|~WQA|n zo1%v$H5q4?>yR#3W7!zC6P%42ImnFQJ)1x={K{CkDvJRRE~Xd)hVMsI5o; zOEUEU#6``*)(6#j*}@UQ zQkf}zfLh2r!kUN)kmAT$#H2U*+~5DIxsC$Dj{HP2it+5|J&Zkq*_+(d!Iz!vWhie7 z!X&AOJmzqP{i>p2q4ZlNq(L_)z zqVS6lSP6avOPA@f9Iv~eB`Ab6jg2ek5!-0(`NBAX5$fh}MYP8aj^WC5tK32=CM<)X zR8rgyIZIm!;T(!8U0qcnl(l+R%@_;mEzuY#E=XoT9TJ98SfI7R?7ukh*myFPO{Q%* zx`<|QTBiL`WJ9K=rMA8y@sXGKeV8+6iK4pzmNo?21`y3TpQE<%u44&$@?Np?;QJqS zX=2#gU_{zJMQrnfhE5_CM0JBQNEZAlaF$-++FfvMhSMgmiP z8-)4BmINrFF0k~Md@H&0!ZM^W>GQ^{p^JJu`rKY@194shGB zu3uyma(JGph5#et$J(;siTqivQwPEAmp8^_^1+`=o&QIywtoKQm|927lgDZ!{^@<@ z(-q|HYv=gKu#Vss{x3x560H)#Uf@lv>T!5<>8I+!33m^VnY$!11j?PWk^BapLxw4!X?tqS zWYV{1Jd_bmIGWGu(wdmVR4tJ!pf!!|$HcT;54M^`_XTva&3+9dpVc2h?`BovESiji zt+&5}UfUyYl$Zk{pvc-^;yvTT%jh6O+49&2D%h3LcRqv`{hKbf&zz*;D$wX)E<7C!IsfYr6WiWLsi{MU3zXMhhqn83wLXp1}>ks?x z#*7DhLPCThC@~!bH%*_Phll+7u zPWIJseCrTw(SD!kF>Mqo2Oh?wTN(MUV>7b!OVQE_AFKz@H8PLo186TFn277}nEVB@ zc6`ivol`U>VAtjcaE4$jU%G5j-MgkNTHOouFya;Y9dxLd53<1eK`O5pZxQG{NOTJQ*--ol9GOtwW2?u$>7hl`X9!M`}l&|N_hx`?J&=m-FJ4jk-(bAktvDrAgq%)^z#x8Y%9 zH`G$Pt~flllWT^{X;-SI3B`*pu>!@X%Iq%TR{;%0 z3gDpsLYTq8I5=>D;3y-MIJhAK7XaA$+(jR=^{=Q+)G~CGz0a`MCi3u9fr%%I!7dcl z8;k(h#~xX*+~D2iVhxuXmH;(oWK%Q>NQUd)QKh^D!=6?P7&2O-icEJM3cJi`WpvYqY{peHlE;aPz>kRCer)J7p$EV~i*vDI+{W(3N>E zU_{<3)+nUyDb|1yg1asy#}K4l5kXqt2>?AMwMRQ4LpYeAFDPRuW+7ycB#he$P^K|- zE1Nk^C!35=;$Q+EbQ^FQpC%~LE8$;8G$L~XO*)2PN_&U`B9r@&Do+-&NAm2nD2ZWf z4cI6>5&lx=DFIj3iQ>CaqzW=1^kmEzDWW1m>8A&byLwA}T6i;tV(q77>wwnuDp*E` zWEhZnYGR~7QDPHiD4WX)M5Nj(LAtlY%n<$2?P&)1PnKxa5Pv6r=nwKLvEfEwPUEljry)fX`Q3i)66|M`U@GrAI+?yd`*Yu>&CTddXNoqX=(MmC#=hDn$0b zm^kl^@+o@vzDVNX55qLvcZC!s=aZt-U0{T?E?pIrWt)W!Q2cJk&*RqxmhXA)3fbw@ zok2rd#BK?P32Q-c)XfBQ?P+Sv28QMymwM5EwN9Ss$a^Dj3%HYhS827F)W(iU1a7r`d&?$ChN4muAd-qtVC=5g9+Az)fXpY;;Z^adyy1>bu z<{`@h*g~?oP@v&LB=p$p^LdFjn0@}#aOnbuy-1*#A$W1X>nr6*b_m2CnUE!Ut8d8i z9<%m4vA6%U*d=z}{A2Po%o$moZVk!P6Va^1zOi&jq<<5y+h1Uaq0mP5VV}sEs9Nin zDNbmqqyFv_pPh-}>^IkB`qBe<5mM5w7Yyx!`dvps=0NyXze`(+3y> z%A$;)<&^j^K)a)P8j%Z`mF_a)*tPMfoYGEQV`C**zkNTxV0{y&_FwltX|*PCuw?xt znSA*_CHF7u#FTtoy=US|z(hbZwND4O`uGn9e5LFY`_q}@|HENt>PSnT{12M?lWMY3 z$HLv@A#Gr`Jzo0ekIwshpOw#KA9JbvvIjiHhj2B-haMc~OHgiZ@)jqXn!j|j_Pc`b zE}-lR1vY{jJjsQSTjG`0cQDES=zcHhH#$QynApwGQVmarOK*V%O3le@FG=L+jc5~| z!r+F=3{*&|0&=Yt0zeB}V03m!y%K9rLqQLzLN}f%i->sH-H8De9;lbDMcDojFSKuN zr7j-3JekFsMPf3xF~Qg7Zk}_GY+UP=>8fDE&V?!M$FhqO_2Xe#uI=d_`Nc3goY#pc zm3N(rw_YAd&F15Lx?vMywGW(xBb}N~T5}km@y)u8k1*vBkbY6}6`vE&gUX-6lK`-K z*`lP+Ac7t$FqlY>sc)Ja4U1ICq2lc$YU=1?c=tXU!Bpz~PU`UwiG2oZmDisx&DKMs zTU1!pDuL`)iPb5=U6Xvw4Wr&&lKO37Y2+qle&*M3)ciirR~AK{ zV0Qy=0h;cSbdpW+Fff_kAK7aP%qLC_+C+uHHkUncbDC#D+Vb!||}XgpeV?2a|?wtqb|?F#CqCP!FMC$-uIyqnMRL63<@rDjf3+6-rnM3QQ}i z5f~Ut6$NuCZxulL#%io3A{?2)!&$DNk+L`KBeW#>lMOeC+q_nQRm2z3jp5Kjb5n(C zR{>)k3yZj6zaF|x%NVf9+_JbfALi?x6yVU}&RaDktIpW7=8OhU3haQxIB_ZXS~$!L zJy#92s*JDK#dusk4Xu~}fwqSM(@3fA1Oxyv-;@i2W8CStXoN^2bi<-}c-L~9$Ri*E zrEsw!G-mF-6mSCWCBg2jmGL|7!N7Ke?g*H{deu<$cBI@bARnnBhAn$ECq7cnO&mjr zA|#m=e9Mc)8VS!mtORe!bHd~y@7*?-qNE2&rPDvqhPv0yFJ*qT1PXr+j9+V7$~q*{0MGSiXP>ZK(g-iRc@_9 z=#I}-(;f1B+#Uj>{?sFmQfEbZWDgrb*~{_yawr$lokd~a4`pr8Qvn|Fv<30#S!@Ff z%I*|vq4~^v*nlJMmH5q}?PZAkDSoh#bk*P(22@0aI*0HLpQpeq5&Gr1d&kwuMGP~= zCGhW3>pT*wwMX!F{)l)W;2Fi}-ZEVZ5d$t*)(Dihp-`%AfMF}-u7+Kb?nv~i@g%$A ziV!@9qgRjHZ_@1}=Z?M1)0w!NVe9e4_^pwyqIQCA@LtRW( zXFbcnZgg&ig)dlSD%p+GX?npq zn0-I>-*`Jco01ivwsYN{EVrMVr)BypEcW}PX4Hv{lF&BC4E;aQTn}9LHzDm=wPh2Ser{62udKA5NqJWB0 z?n>xo*BAX`&S!lipHqZAI|@6z9DO4jkv-8^bY1KF9lkOD29!f9&mZ?YH?B}c-;~?9 zszK3-^>_u;=cotI32F+S`IA~`-PSme+DIPe6b=9y3U@rn+*;f|C5^+L!Fy1-Y|NT+ zW2j`r90Yjd62n%vNfA#%FYH@J%}Wa{XZyz0K`F1myovZdq2Io>|IlD&6Q8RkEo8qb z$vMb=Z8pySHnWj?w+>)jS_oVQk$=(SR;nrH<%IPb9NyuJ_JG?0Q}me35E8%#2XTio zPT(zKVGVPCfT$=lx{=n@MsExuoZ{%y9Hgs3}T^!B0F(x zPL??D1Mwxp%_R0vPUPBhZiyA+tY#0-Zi9dlr}qvUP-;%03(Pv_K*1-W>J7%W!faC! z)46$?4x;BUwulwdxCrHghOr9fBvLhwzYVByoEzm5nM8_^0?<`#;aIDNr~7`Fsfbu#1&rMB`@>4?#41w3AoLZki? zLI0Z}X!qt?@GQU$W3wTO6|&=>u!br|Nb9&Lep5vRoB$uL-51&pP#o6sAQyg}Od`M( zeq^LEi->xoCb!5>f`*50NQltj?1I{1vK5D6>oDM}kXmoH z55fgdUdxD$e;BLF_n(LHql_nOBl9ZzudY8DPkS|g5S?SNO=q5vOw+q$@5e*>iVN92 zfM5E6Z5Zx8et$v*D}ED;XGAmUvlGs4#78C9>e!hA4*pskcZeK$6?DqKa3-F~8&lDr ze#-gi$vQ?V?b`iFTLBO>9AJ6nylajBjQUoa8)n77_7iIsJ}L=>{0Trcc>JUcSvZX8 zhAYmP<0uNn1_&sBJND>@Za7ogC;ZSh0yhHjd>Y; z7$`WLGx=X{!c5T>s2h(Z$?WU#HK_DixrS*6LL0GRQ5C~h9lZn%PutPJ!g}p#$0ag) zsK8OGp~uwUrBkck{X2B#u8of?(SVXn{mtvqO&pO2F>Lj%_tXW$RxdLd8lFe-cqyqA zEk089fi}W>*!ez)cyE-YQVnEX1uLi^wHqy((+A959;~_)dy>ZdiCPwF`PpX`j!9(B#`A@x+loOi#zQ6Wjano+#Pm z^Yk7L^=D+p{zx+>s0PsDYO8+fjAgIim|A2C!#0@v-#f5%Ly>QcJ}{A7l70<6rvlu{ zd2pQ;V`dd+${W7+iD4_$z$f;-B@%KmTuE#tcoAGdeN}W;fRLadHL<)HW$${7j~^f; zT1eUK2` z=pWS;gXPXHUteck&PQB?owIoDny;eZMNT5uV55pVehFsft)b9#d;&rSgVXzgklgNM z+!Isr*e(EH7=o`H)s52~^v8LozZOo>2h2Trggy@{bUjXdsyfmsC_Y8xv$ zrhsFW7-4~X)EcN+v{x4-of^&kohLnxl~2}ici0We6fF8M$Y z?-e57&w=y+ROkRI!T-^9V8snkPWPbiplx{9;A>DNnDSZrXe7?yt^^;+RX|D?0w#2{ zRtp?>F(n(49BG6m-g^fh_yldn;pKt*fLI$<5ziznr=h?#^0%XxL4N^k*)Dk41%3m- zc|6CFL8VXtL(RkuL^oN>up!02U4r;~!!)4%O%MCqeN;|K`$C0)};i!(@d=02(V- z&m%kpMgUT{Ol)HfP5K&8Vw7>hj{pIc2txzq8VDV7TNsh{6 zQH)pba@}7JA>MvMKHp2E-k$3MCixQ#a&ZuWXDkFC8J};z3bUqDZY9cUb}57K3-W+N`#??twdzbD6`?0E4iRhMr4bpcsa!{*(Db*By!ei zX?p&jv=vKHs#tB+bHO&|C-tz}YVPA8!i1IZ0Jt_kmEK^)eCRR(m3gIm&)aZ1rPbC~ zm(aPn>HpH$PdT~c0QQU`Z}Bad4jOs%?ReX>jT4AJHkGWdz0wOuJ;5+YU=SR=nwx~t znScAYF>ker9x#Awz3tzc%6mM)(oxKE7Ne-rKmEXRcFbmDdEKiWI*HI!8%@lEzc1pC zjIBm5y#T$)Tb;`u#%V8HZGHG7^{v&`vysiBRUp0o;2G6Zf_aH-l}o@Fa+Qv9Zzj9CLK4(ev;0BB$9 zBf}hqD6|s>jzISKC0K2>=pF5+lsWI9Ga#vR9|ENqA1)lkRo*%3~vt%n+{l4F)_$H0%XVNb0iX@#0YE zk%QMj@t5~aj4`R`6FyEFzmnq^i=2Zy#ltjtdu_gkW_?5cZEDlkN2%9e1=>e~5e9tC z^VpX@dt)yu*f7+9v;o6QgB5v-h+hn&X@rei^_)h7vo1L#TDtn&Ef0r1YhGwwc;FH{ z^gQw)_EQPd`HfeDEGUIYS~2aG@=&rNfEA4Z1Es7?Riq3Rpo}I8z=vyo*;v9t2hz+1 z#BuO6Jb)Jwg1an2h!CN)7dI-pxKPUz4td?idO)hifVk~Z8`}eV{m#(Bh$yzFL{~>x z$YB{zKqDKGYa>&6dzIqgy7Ug@xP42tl)fw{b{l2>of6kSQ!s_#8yqOi!ynxu$s9GG2nb& zoUIvd=nebmI5y77f_PX9!aM>g>?9>CVc_gli8SPW2E3{v7})?c7Lb+kg{5HH9r;Df zYag41QbVtC4{(~qLz_87E*w!;4Hu0@>6VDSn% zyt$U~J{T|{-hrSyLb?K?U_#?)tQIkb%8*lo#9|ORmlD@`NTk6RWj>_Ma7C#mT4pJP z4!)QUj(={kHVitRA$cayUt*_DZzvl@!;>X0Nwf<`WMPP32Jd>$6RqTNXaz#UxD8Xg z{k|v>D<#1vf%&0}yzU0f#curF-8*XJcC;)QNf|oh>GBS(Hr{YtqtF(~vOfz&+krNk zCp0~_!4N9S1Ow{kmd-%IGj0$hPIr&?+k?Zfb$j4;KlL&M#XDk);%8(P1B*g6#-A#`PNg`h$^Z03q`OYCYRqXOO`e#J2E zy#4^S2>9|yFNF}e?PA;fQf8|RpJ-p*g~M3IYp^E%4g`y*oWA|>VySXwh<`B%thp1}iV1KX%Obh`|a z{C0pr1kX^1UniHjSBw!IQhc{n88Qe+qK6c93h=z_h`H7lh8^px+}P{k z01BRIs?wT8`wf&d{R$p;RbWUM42g*3=ji}|!ZyQZ$i~wm*~S_ZhJ}dApM(3fn2X$=@n@o<5o4CK%g)+UvBgL>?3;$I>wmj!0fV~yZ>`*GJWx67! z@cLQD^42hHE>Xm*{I1+%!Ji5y3@V@KFgOsm3{fFBpTp-2>mg#OOHkxcn2n*Zo*NQ0Xq0{$ z1{=@HJcb;mRIBkaFRHi=382#^EuYuz$w8%>x4OlA)E0ei#ns@|8o%9A4ZS#zAwh3Q z4~3fNl)oX&>nvk$T{`9L%P=o@ zdvYQen}oShmDS+wyv~^WcE5J-wWl6YJiFyyvC3N#z{UVD*dXqD2+QzLHMp2d<5lrE zf_s?{Td#1*Be|_GbXd4_F;6oLzP(6DbvAcJVNKo5`pR5G>*GNy<)b&S^G;TVn2mr+ zKysn9039ePO0t6x=jsIhh%6`2rDsxzgnbIZN$-&0Xh!IvSeV5nhhJ6PIaet3?Y6EM8|M~oDo zNn?}$g7eU!kto6>=uKsE3TCZB(`DeUcaNL`F^6Za^vc584UB=%vd8F^>{KJJu%edus1Ebt)w#4eRdEQvs~KCaKqNv&^bq{P$DEvjRBOGPQ*p$gkD|z zo^$r#w5fTOA97&<^`tO16tglYU$*Bqo8ru;jxg;p1SN+QFA9tc5Ul4X+)x z^f1EH0Vb9l${z=uPfwef)Q^1%!IPIN-ciI!FGL#z3euhkNW>XGx%#RP2gcn@@XghG za<;9vqv1X4Bz%Gy^i|Fdy(HiGI%Xj2(|(bO8gsXcUpP(H6CHOgY()1Ie&#C3`dO?< zb?72+ASjY~VnDL35@Y}}WxRJt4Yk_J75^Sm6bs;a*&bo3O^jzQmWd1g0~)4(dyz|K z?ufh*mu%}ID%XaFnLz^}!XxoozqX&c-Bb)8&O0OI#xo7sUVn|$l^)20iTRK>mAk>g zGn6RDU<24BEEp?>+%tSIc<>1OXoyCETeqSB0HDw;nBZ-N+ZKyW!e7KgwJ|2~^!&JZ zJ6X~d?5p_52e7QX4!wQzHLJ4mEF^O$N*tdbOZzd@eMzCWmMY zi8npD%o|kN*7kw)R2Nc12jQ-FQrBBu7p1z~F$U#elP%8E-jjO95CTf>9Qt}N@;h)X zmkZ$+%aQo7)1Q=S$A2ZIfnk`uXDqXbGOJT@;13SEJlgjoQ%X> zEZQMmir5L*?$BI=+k^e~fZVS^HM_@!P#e}2n}#FDjd8Kw+gs|G7L0; z#Anc8h=(PYlTn5KF+QU;%p+*b`M6Uc2z?GPTHs>Xs&LMi#S_SNXd=e&E5Q;^XHb(v zx}H)hk*e+;rBIW;3p-dNSQiL2<*>E%VSGcC9tCg9#p6~S>O;n8r`92~qZG-f5;{^1 z#+;Fr!3fVQHo@%mEY!Np)E>VkvI!{lyAjEU!c!uI_PrRDwHgL1RE>wKHga8cw74_v z9D;nk3}1sM9aSXls_A89pF5FzA?!5o3F<0?qd4*e(t$yYiyucB2be~yr7UBPSUoyW zs!+%bjyLkKg6Md_H;+!pa{zq~xRt0@iIF2T^V_9zTntSn_Q#@JqL{1MMRDW^p0`@= z9&mMtv!F2|p{EIp@6sm&dqI1>oY9gsC7BKkOy9xkbrkJwZ(x^iAD-C%8STnkElhXV zO2IM9rNtK@LfNe#5A8bAB^-Al`+B{2$+`%=XwEQft+tmSCyHn&sEwe(=`ZcpR zT$RY5y*wlgMN7RdwQ%wH4F)V4w+f6#Yc@&j_qb1}2dwnXaqa`dsL&_UGSs4VOxs~d zVGH<%SV-K7D{*$*x2a?A7w+{taJB;e_my$Plc3~%4dCX3)fpk4|5_~U9m2m()EP{dp(XhdzJ`H7Nv}ULCC5MIyj=5xN|f-d$87+3uw*o7QBS(` zRIYn4iMu0mw*J`>S*!ngDz|*VxktZMi)8HWmMi4z|K1MUy9!RK4kwh@NCp% z@1N|S)i7-Rd+?y}q??jq6?h^Pl8MqofSAU6NlHF{QwU_(VCW%5odP^B-4UVl_3+0= zRvuY^Dt_@CsNvRkVR&h=t`WCnd*QiaUKvsWc5Mqs^ROlT=!fx@Qw}FX0k(q<5RqpM z^2FCa9Xcc`PrM?gWPIHQD|z2B-+By>yVt%0OJ-ko`D+-67zmsiG-9v`Xot7YH4LqK z>^C>9FNz$$cg#oAbr=0OhOKh#e{u_l-|O|b?2D2;`&Evc!vast6#x{gq4oVOYy^M& z?3x_Euby{UNjJ#Ldwhp^+#SEgV2nw9u$!>jT2q|lDQor! zqhgd=oesQpM`(p2hPaRtpXWt?Sm6kuyc#b9A!uSCMkg}rZBIJk-Hstpw$NwrE^aKD zZ*K+R!>c6;^9V+pwwP(9kVG}^9wB%RUV_4dH@~2b29UPL&+r7z`Sg(1LSdn-dt#8L z5rs&9k{UP9B*f~H%Yp#Ba8Qb>UBI$CU@M#vTz z=yyYEKZjzyE#E*CA~2Qy;K|q5(l(#61gGIP@DlaL-csvP5DKLmzI{iOa@e{kcW}-+ zQTafO4*Mx_DsRGeiHjwZpBPV?;X9Dgf+7nWrx=^xk07>d*eZys0vmup8EMl>oV_ry zLT~i;2WFLsMwB9FN_TfegAuZnplltfE(bT}= zV;G)IqX(_uYLlDzhK4cpgusxLD`4r#KzXP64IO9f12`>9-uzcLkZp#U}s zOLF*nQ6!=j?WH!josH@MFim~{2g!}o<_KmEFIEJYG{`{9q}_azwxR{2C7F?ghK@Y- zev>d>W?p{=CM9+dFdLOjkR|4U!1bR)xH|~0+^pkMM%W}gBay+R+CO6hbm?q#{@*3i zNJe6L!|Nu@&1=vsAGR7uHn*HD*IfGLAhP!@w;k-n$Ob`&0w(9)QXoEw@%da%?R~HJ zCT*eEYhXDV;`ZC1=rMF*8cojxqk0IiLDrcpNA$Q`92=e`_N0PmPw28U=eD0FvIT`* zHYM|8$V)}B(9iO5wq39vEJe)H9(Q$b{ltRki9IN3Jj>?c z1cz=G!&VH0Y9*AG1S~(UPq4!qI%k({?k&GU@J(-xH_Hx5|D z4Dpa7UmUSk!%!=@B_YpqXaW168JOJBk);+`b^o|D@zx!E+lJ_&enH$;{3couq*e_$ z51YN{LqT6owsI;{qG@)J+rh%4L^OCIG;>>d@9@`7!7f}4;=~LVfx0&=7|Qef$}m+I z?G>Y=lB~eEXyLH7kO6ED=!n*hkV-B_UINQNQ;4b1Cj!C< zu7UAbD}WFP1EXq)$s_JwAhIuD9fndM3pWl!m`Z^1(ne@x7^KOP#I&8jPw_+2Efept zbGRFZWWjPa3&1>vPIIQbkC5zr!5!ED4nrVWcqW!IV_xm=881>l5`9NEH`jPETx#U@jIA>poC2X zMNbgeT}aLb<0Wf`F?qCs3k1Rd`1LmFFbIsJE(D`>mI-X=^eHUE!#XyYpVZW|z%d5v z)^Ue@X^{c2fo%0jXtNW-w522?I1Ck=La(z)v1LSDMUGjGC44%$l(M{t>DGZt9ww4mNz2Tn`EixtQfmNO{4 zTN&5x^2y4_nFDX;8r;jYRncqpRnPPEy)smho9HRVoVm&Y`Ie-)r{IcERT{uzbj}34UhLt)A7H4&FdxWh z@N_==^QYNdxPhAixn#BiqA1WrPKKnrZh5#X2E$*J3+ipytW zEE%!<=Au7?fxqCU=bSYR&;p%X(P7H!=S;ShFo^`4K$6=Y_00U4Y1H+7#hm@WWh$(24 zVLQ{66e1lLv3aof z%U}ft$lZPJ%10qBs8RDGHxcg5d4qVvtD4Tx?(|Y^mB9qx*j|;!hryLs`py7dea#MR zpnE&~n>+`0Lc_O*IM;(K62{&a{^N}M#`d`4V|4NeN?P zWux<6o2a1xL~ED$2pk61LQxCG=q*?KZI5ur<&PLQ+mu>Z2me0jk@+&UPo-feIEes0 zq|-jPTY^8WnEEUKne-vyKJsODGV%}C8}@IQXvHrlcuXog$K>PxStf4NYnoCX59f9r zRIwMKMBnvG3ki-!2~>Bkgu6Cy!eI?>cl~ml=%`(k#^<2e*2KYgQ?8}sKFn%}+e z@r}k}WFE9GI~cw%*avMW1}v-P7(4XfKz=PV2&28NJYw??6v)`M8@#sithuGr+I%o<1ur7Ni)0la1D?cjyCYbNt)fr+Hk8<#Zg!>5%Vng4z>=ZRtS9%u ziZ9tc89aeW>IB>A&3xvVN_mMN@xzcaeGEFM4}$dVQc%UsRr0vBLxY;E##yhTtDIb% z-$>wm7fBYt<{>maXS(qCx^(L2lh2xodB;(X7jS=gMr1KWZeE1$%(wHPL*9KMSiieF zJ4=>So>-CUqc7o0$&427ex~SGg^O@o45{#KkB0ma?D6C*ik$+h6#5n&*Pk>e&O<*A zz83|U_h$?Y)A|tJ_DfbM6J|Fvf+OPsCdLrOho!-vGYS#?AOfS)4~_QxX2vS!6bt1k z&ftgT61zyO;>Y_6V#Jfp5nZhVZktf)^VBP0lAlkMDRB+QYzo=Ts`4CCA2vAmjm~)J zKjLRsKsm38i!0&I21ULq&}RtJGbE=a23o2!a5NT}Ma&R8nxrXWY5 zgzzVFPAf0>faf%UsoV@^GvbB#m8&cqASQ;k4MQ2%DPM9%6nX5SB6CMc9sr7xppr4- z96{8*LPTd!b>6zj9f&&S{D+T|Xv+(L20V>NnPO_nPp&vA?qUijC$#<$6Nd4*5*s$e zO~kE;D8vju^R*WC1mC&94T*#Rl0yn*k-&#mUuNJ1^5j+ zY;`HM3`Ha7#-Ri%WASr@>HAVizk_z^u3r^B_?jSk;I+TEWBx=VGSSp8ue3!=DMLW8 z#NtkU!>fWY`HqhzkJgRNASs^4kY*if#7`VDQhQ>AyC$4wYA;$5`O^x96CAd_XJoj? zE5f)s(m@*J~5CO{!Jpk;r$F0134sTa{ z5v#l>tYcSR8Eqq-@r4um7|TsxNLw=b&CE=>W-AlBVaX3-SB!nBTXkjlzFo* z7-#}I$}bH_Ol*Gad9zf%do`Y+j|EZlOK01dqh);dQ{?Asa-yaQJ`{5; zdd){GQv25!=H67peho;n__t0bJiS5Hl9+3z^mK)T743>YEu>|95`Gc=rRi&fD18%( z)5czv2*cJualQ?x=vrJ8EG8Jj9iOO;+CxQkJay{6o)i21cB^RTMRgNxFzWfTd zTX(=o3|oyKwmze_gVfLCgpnam&vFmK9?auEBm9!c5ea6lEC-ADUd}6U`08VKNWA_M zIf~J;;rw9b3OGew=C<0vlr!se|wq?2ej5ZCpjso2foMF(nZ2fG zR-BWDddSYhs00m@D2~9w1VL~;6JUL8le4(1vz15mzA_U|kW33qV8bSEMHUIZHbRfX z-Z`$zj=~GM3s`l&ppJs3erdBH4e5v?=ut#Vomzklwhd%phBcrdGm$t`6Uzb?@f0?D zJMxn(Xom60Bse0p1`V@y=mgUCA&a~S*kIIW9Ab3KLR7B?2Rci|v*2S{L@+L3h*ns^ zX6+l$tq^o@XHi6Q{b3wH?sJ~Z-&Bl=Tm%i+ZZFlq1W$b2f0`%f+{V3%{YGXpKO0gH z6;%{i6Dx)^$iK2__?`+_`m%Q6`D3M(QX~s4+vf{@@bP!J@62+JVnJ{4Q*$h{nX2XhQIC7H$JgG07!d zfVJeDgRXeMqRfF}fbhE`)=3)_|52uok7~AsVL8X#Nvxi ze>k;<)HTqN!fmGZi=v8@4FwvYP>+8!vB~AwZxPQU38n};{fHPIq=J^-TaF@Ad2~m> zN7|~J88#nBW}bzK;xz=b6WOzljJ-iSNqXHTL`Ftp0jI{~i22t138{}R1(@z1m4DnV z(_dYJrdI`6ZH=UQz@!>P6Jhi?cE%XCI4?(73|oVSIvHo;LTwBM(L76+&@})ojK;jO z8&~BENnz9y(W#GPG5#!-d z7dH^6Egh1ejs+nCLUJpn*ta5=pijtr#Xs9S?9NO*Hv+17U!WB-e~1&Yx>?$>LWKBNxrFQjnBgL z*n3f57qRp^56zFf8D$N_*5EU+DWy2Ml-(D$Xx47RJYN(d_}q5-_Z7!P6^V2P^X$so z7Q*cnRMOqgVE$GEO?KTFHyXjD8@4h9di<8fP*$;hVjv*e5R45&&Y$~tiM`v>Wt3M& zd+-$MGW6lHXL7@dm32(8kgFh?Bs12B!_)@rnvmI|ziQ!!Vvdoi2_er4x}B>qF}TW`p2O|jZ~4G!85su;HJaniyO z8C!a}8Ah@lTS7s1@aU*Y-9{GK3O|1`gL!;MWqHTu78GVUs_kEE^np>1Vz=7*%r?_X zdA9smkIr2HszhH2Lw;VjF@sh6CvSWpO2HJ&Jin%P!p)Sk{3_eB31AX20NH#8yvnG^bU}AkkC(90Agl`G*Bi< z;$YFZ1`VEo7>s&Qf(HOM_QD7ZfZ2^%c$&0zVTsvFnu|jYVA#4Ef(&4tcA!M8*J$h@ zQOs-PN@VnIH;XtKC4!oa?nj)Nr?^?VaIw5lF+L3TL%Re^P0C>_Fc`nX2rj=VF~%f} zHvmlHA}CTrGxZ1St=XTcMYSzw51019cSB=3Z*~fKwuoeL540OEvKfoeE)i6DHM|S~ zbIR|vAtZL40bnNw4O}fs2fd1)m7%PQs7}0m@#}eq@fwY3^ZPrMH#=lxp7K6 zqRowHNgl0=MP5o7S|y0o!>US(B4wGk;VP7z31fAw)`2iI+}RZvd9lEiw0^?z(C9~Y zG?Q~Q;83(Y%Xn4Jo{bte6T{G)XhOO?OqB)G-2=fzx+r+{ZxJePRe@V)9Au;hb6>|K zp8ZTNJMs=6Ssfl<`Ezo&JGcLLLW<1lgr&l3@K8ncB_O6Po;cA_?Bh?-R|}W1>WpVc zWD(eatcII}{{w7uE(#zc2^u(LVgsZh0iW{eVYSQv?Q*yYr$3nfpy3h>eqv zjNvOKuP#3zkDeD^zHzF=4l5FTo~ftl*ub*nZSl30I0(tS5_G7kBSC%o{#i?j6s35T zKlS+$j@`XLv;(ZRVw14N@G?W#E+)uG{uV-38`!}1=m|8)l^i%1516+H;dn3=8Vp;- zJ`1$lr}-5w5WgPt53$%~X#zbLzkTC%D0}Zy4R6DfzM%@Nhs{MN$G7eX*bO45Fw<^G zX8L;MKFOT`#yLwAW#?IvOXwh+Y>Z2E-a*k*=;+J7-!ri<3|gh*^I-vg59+&~PZaiskWH8Gm*iFHGHmcd8~PWcoX5 zPwd}?!R!Wl2CTThahAx@|0$5hmC%u`N+B2SfuQ6l6ksZSj66%x#PTjLELygz~O&4 zpxXSV*yD?MMQ7!eD#uom#_`&#aVok&6Y{|zUc2d4sNoQ4@f!*(xpK{vp5EXyy*C|W z?d`yN>HcbzEUqm7?XsOY`JlWp{B~(3#1t`&gl^3__;~5GE)NvRXcKHM)2}VZa`Y|@ zu|y3KML8OkgJANt#?kyQH*`i5;JnHwrT@k ze0mGE!u)01`UAD=3uq3`D6n+&1;*FLr0`%Q4lwwiAraY8^iC`I61)lbSm65tB<>Lt zT_PF;K1G&YT|$0reufw8ur5k)aHCX+dyQD`YvV)RgmaE*(8YKLR|Hq!hMXw%xL(%_ z#F&RYk-hy|xWuPhB-7{;TLl3!pa4WVhwO!2Fi~+Qv29Qos14WdG?3Bmf3e+`5%{X& za?ilKi0rhpE>S3*;fxXMtPawuBGrk(br4|BR-8aEEBY*QS1jrV!MbZEvZ;aEX*|$p zJ*8oi3lIcc2K`VYuY?m}3k`kP-dD{@%Djyu$-n5B(b!kaj&t_qvx!VzND$^m3ro0SA%zY!H9LZx)_-k1S z3f@iOeN9!ZUby zaQ}khC((uk26xA*wGqtR{3p5l*!6)TT8%IJavTj2t?J9aoP-CjSa8wmy&s}PZj^}(2ToTAnmg9%z@H4`~W8NBG9BJ(vvbJIdHoy>H5-5q0 zwX>5c@u);}xA2SyGJSGnfd({&y9J0*{I;6Z5}R9Um1{@Qm28Lskk-8W%M#Ah1D4TT z%Ka%Z{QxTlO1jgG4#)!dCM1tdi6X~9FzCc~h|1a`MM#?yV#HzI|ARZ#Qr;cdTz3u- zu0L$C+Pbvxmd3uimQ+c;Z%+1C=;#Lq%Wim4H2jZqSbJs)+M;bfaJ9<8=8StO!&EVB zO`k1|N(o)1WUXSCgK{;FZmX;{p9`WzgspJd(~ixW;)&NYX4uD)NITW;P*L0&^Y{mX zu<>1tWJq9MxL%KiqrfOVxj~%K88F?rUV@dIm!y`4fxa8vz+X2J+LPD&d?Aa)&sAjJ zdqSvXOJc5o(sTMyJSDq`usMzijn;Q@1q`rwKI0a{i-=kowH#=eRbho0OKvw=9yxmV z3l66;={Or=zt^FIt9fHsmvZRZ2a$iZZsnOs%29b0v1y%O`wlrdEdT4oNf(aeN4A1a zyADa4Jhq*q2@m})G1r@>G|eXVVZ8T`S+VCsP!(A|&HV%a1-~~j|F;9sj7EM@!E}+X zg6g^EOu&V=0s@zp622Ok0A#e1SgKO^h5%g*bY975dCA~hY#&!VuwCJRxX^~q{x`3V z<78$cU;zU|NI+AtlJXA!V9OW_&K&9ux?BzzJ?UEYoHXpcgt0eyn?3-}lJ*WL^FIVA zjJ!-u=PrWR%V}l@_3W;^V}`@^^fO%Bt$#_WqV(0S z$EqZnSE5k+) zxKH9>%80%yFMT01?idCz46y`*AkS3cHn(LpfC3s12{T#bbG*=eXna{Q0mx%069p+} zAtQ$xa4}-qI6B>xie^f+u?%vikqhLy5*EySq@mcOv&73bz-)teI98acHz-LO=z`&K zky*Np(ys7Kp^_N2$cl}8QWz#*9jbsLA6VgXnLe+GUoW`J#>m{2 ziF!A3zM-;5)gT@--843Rbm!#kM_w7?b;bbS99q+8Z2?S!Ai>hjAG`~~xJeZMQhSP5 z|Il7LG%sIo&iVoRQB=J`Md@8ZTw%;oF7!cjcqQa45Y`Z}$RrX`u`_TSA_58XRys$2J>=2y> z=Z4+ym1j|NQYfh}Oc>8d%?vpMUH}$9nx+s%j)Aa-@ExKu+bBck1hb3-^v55U+5)jJ zeN}>i$;6oJ>n!|V@6crB!f0&Y;YnGc7K^z;Gw*@;X@ajdU6*YzhKn+{^NXPP6g^x4@+9B?)la$K95)2ES({K z(+}E6aR=z(U!MsZ$9|$^z*eULtfs@Hh9Q_nTB`ACJVaM+o`{pLW3mkhdFpFu{|=Yj znL>`m5<3VK!YrAnSrHxMF~puW^v#PnEvY1!wnIKQ}88z&7orDYhBn zBS)?b;tZ&To8=yx6-Xzq*M%1IVC4JGVQ|GR9&~n|@PzGM--e^p4q)43@V8mGQz7Db z?}BbmjITml!t{{BLeL+mL_1{Jy9q0E;7P5rEb-*o1DJ%cS+LmzVM0cfV~{Z!t>=}$KEfb{xQySxakG0ZX;5k@mcV4R zfuhz;;IcWoD1aTmkf}>iJsFwSf+;RV4M~-X* ze15_<=)E}wkjJy8g5E*juvJz`yg7nq28t{;cLqkVqP`3Ql*ldylz_|e z!vOOygSORH6&y!Y(OHwzdf&B^#D#ppCE*-8^b_v-X z6~)c&gl^b6kQTqCwwJ(60LX(~7$J-~1lM%K@P+2rhy*$KY5^YgY4$nA$Spf4d9 z8wk0U%t{G>s=F3-&-uDtYztXOMQ?imEn)zk71b6`HhSeufU2tEL>u-{%R9Y;_Dd@t_<1W8LH7?!Z+8w+{7m9*!0K_-T z`&J|w$DvN(jODpF#Plj{8(`#D51u?{mZpiKqoel+r$(lh^$QmM%j%Vl*M{}*z`_E2 z5^bQT0-J?cWmh5wK=CexSg9`;%$0ZHu;AJbL9??>ScmzHL7*hSj+ob>?fpM29#|n! zZNS3V7fi2gun0z)!B1!rin@MaF`4mQvmSKX{dtf9_(S zJ}7JHw`RrM|GKb-VXG)UN*H|7pniS{9nN37A*mj{UEJZ4!$cZca5bQyUeFr0%FXWv z@h+nrG$>1vIa$tjl7e-av7@eWW zx7CcPery=wSe8W|F&zLq9~i+5|8rOn+PD#$*4_uR9OaofJoxBa67D|m`(R_0#`N$G zu_lmjM0KfFY-rE6=L$BO`~;iu{*bmAQpfx@UeCqPAR&*J z6DW&5-Zirps5WRt)rLHMr#bzgogr&boR|f)nl{3&NrUuRzUewam4i7FhliSleQG9b zCD(*D3m%D0RzyFBDCaD5ot7jKFVPAEXf=CQuq>}}e@%!2hIO2Q5H$)Gj>B5e;2XwX zdJDM?m%&0*69Z~!2(??O+J=bjurlF&VXZGUBhJ8-H5mI&_>J*M^bi1w2>6>rMVTv?)#jmwyD0UWuCN`cc5m%4m}2T!YT1?xdJkh>$t#?IKZlq`sMjuA8K8bxCa z<^tBOQwi8%0Ltbud-ic1r8?UKALASXSsjJ)gb7p8<<3$wjAlsfnJW;94t|;=I#pgQ zCYmIaYhjP1rmjqeK;z)Ba0T>rV3KhRA_tq-qI}>_q+#l~I$(Wa!2m#zRXUVQE+jNi z34E&ITY!^_;4{^bqwtj~R@A`JAA;K{nxM_ViJ{x#7fJhSt7crJdO(u9L8MRsfdP?V z_YT4xWhxelX@PAyd%3bQ(Tm)PH=W+CzHlq53r3?knve}}2h}k7SXAkNF%y^$L>G^X zwi1?G^4$0&4~KHWxprNZQLK_9Q`Zso>G2-?EnM;LVyyu0_6x+;DU&rSLc z61FjjpdV~XW5KGw5O)A#=np2={tO*d6!sSm8m8x>ms{N<)#l%W7-Oq!wgX{Fusg6%x2fxMpWCDA*Oq zlZP;2^XN-At+pBl8URXqM-JuzXduR>L=+tK^n-$tiOdRvGC)E2wX*`b@Y*2R5KP0o z{!je+qkDob9w`}l!^Md9n>a0*ffi9~k;H)zPBo%G!D?%h&!cGRP;d}^24%3r)kNO? zL=fqo8#Rs(+SCGh%kNyM!i|sX7AFl({gm8dfL)${c@iJ_mqDkqx2L8$t~636vl%n1b6^MYJLxj8?-bZ-`}MeP(VMh zB<2bzJp|*fn=W0En|Oxse&KqIWe(1+%NKtJNyf4(!SWJ40^67mhs*gPzex>SQWi++ z4;F$H!`ADW5*2%K#Tku(IP3`?1w-@WlGHDx3Gtq&Jqw2(q2!={h6hnLdluX}k|fQa z0s~uf$4Tx1;Plt3S;_hA??8z$G{oD6w<6Ly^ z12vw(9?5WqCAy3uZ^j-wizR_9`=ZXE!+|XnCO>CZUKm73i($S7RK5dZ&`t+zk3hZf zZS23?=I-lE5-PW67FE>3!Rp~&=3rTsnZRPaDL4>>=;2b4#FsJz1Kb%Dn;PkjZ1!c? zzs%L{EURpGrX7{;Ok(4 zVZbJE{b3GqK~|tt7ke0eba01}@sTjR%aaf^7j2F)bTHZuOR;YS&3vE~cbUPj2pDD_ zhU*J+pcbL1fN8P!!nZiKxRhB6V7!ICa2Jw20o|Ygz0@(o2~P<%M5ovCrI9h%niWmM zH8;To+b$sZAkY#R5l0yjyJ#5=fYCNtD#~zFRTzoiOM++xe(a{QLY47K4z5*kEG&$) z;2=OBIybbEm(c+%FYN@~f^n>dd>xhwfpS)7{G5+ylBI00O$&ERPcE14-q1rc7vLbS zFqm+CUN-O>44=n06v#D#-#+}(%)W&L5?EI)?!s+h2eE#ENFuP>5SXNeDFTtVFSuw; zP(*7pZra%(Ho^JrdoLfdYhVfZ3C$bX^Rgl5ySuz>DZ;ItIpM;Eu3b#XHz?3p` zR;0Ggq#c%uEjo3AIXI|T!AG93j$<@HVI7AtO(CT{j;?OqA;FgDx^fPOJTM&Fnda&j ztz~4)NrJk6)GGrs!7ns1ingTqNd>4Q>l1_i0Pqn)WL0x z_Lu+|n$+Uwb)X}_<=P)|xgcWbF%RYLpBSeufT4XNSy0@}Cx1kwyb* zFEp&wuy`%e-e^b3z0xzbvNEI$9*8AyJ4FECsH|1Te6sz^q|OVilAX*y!#+G zAQn$VniW{%(q5RDtT?1}&|EzNwt>M2ielPezRf+fGmMTPTT`zX&OnF5vf_6%s-r`T z+r)^JzxZ$s`n!z9TFf3go3L=tVd(>B?N~S82DIB^G*}r-+Z#R&U7LbSTME|Yavwf~ zr41X`W%!RWqqzrkgsjj6Y5;5r_$r2S_-zX|3U0`?wk`e+WeTn?4n}Xg!h0P~N)t2KMWD(NS zxWW<8Fp!jj9W`sN1h18+i{49Bo}G~kwhQTBqvgE@F*knNie5ew$onlGAqTfB zr!PMW4Qda7Ma9rD3>Y%eCM;7HKSCiPC!D}1ID-)S?mH~eO8Szq^~Umuk^Pf_o%~L? znBFp;j55%bXnlAe2*>`hG_%fGCPW+Cr(YkV()(zi9f>U7H&);A+V)A~RrTQCXyvu$GviFNvNZNlEwi1BqSRiG%0+UC#O2L_Cbasex zx;t$Di*<}jCWs0R9e_LzrH65B{P$8t@4hG2@oNLS2t;sjF}Q2(_`W2GKd>sdfA-B`QnqgV!EpUxBKdcV4Xw&Dx*Rar<7LH_kLR)J4NM9?y;Dku|Fg<%PvFDY{}v;< zX)HF(8)W@QFuFPR=M(6cg34_YYg-qLY^Bth> z*xhD8L-w52(zC@N2FT=#c#m-Uu|U#=QX&stz(xZt)~B!CR1AO|3|pcb9OQ*_?0+X- zb9yt3P^+z4+zbkQ$w;Nrn3cyQTwX)BVES^IKkf`LIKH{&XE6&|;RqC956sMYm)PcS z%`61pox!(n#_+5Vr%Fk7tn-j3382rV+S~}5QoU?h}A%u zb%sHPU@Hwj|vGo7A!W0x!j8rY|(QdJ@ zCSWnNK40z-V-*Zcic6QU3Jn4UgCSFvgU*fMY7u(h1=yvEZW1C=X@Uv6U{tDjM$N&a z5rPId2+5}Kp^t{wqOzFb?+Xo5EidCZzXZ|c_BUShY=cGSAzxo8!ytjwToNG32eXJl zbGdzkpC(aHGu+l-kksH1dlKDTizlFMCKaC_It%VugAsyZuvhZUxnV$&Pa0@!$zI0~ zdF9|}VBCk|tDzXygB3ha?Gg;Q5>_l0(h(Cbj{Ue5NC_Kg{=w;u3evEUo8CYOVEeTl zMUttxCugOfKMsk|@!YEIB*osGn;Ju7k z*4`#nZY2=(ieo#40rtuZ(1$t^ShW3B>7aQG9m9Yj6Lnx|xA+kX36Ah~q&(P*{7Mr0 zn!~dC&}>CgyRjb~(_~_4LL>d#C$U^L-auW&S7Kc5P)a{IIE@;9DPFK)v?t?I7$S0p zoc%#rX8+%vvTOPS>kp?-zagnVbakB6zEg=*L7y^mtWm;wk?+CSB7QcK#&d7LNs^!J z7grlCgqzlC5|=4zzXbPx@Liv}l3;nsS(P5L8ag^Gbr%O)4~3$Sm5tnR1z6H+0xi9K z(w_D9nb4A-QicG#HM%@hkuSMIIic^JiBxhFn!}w-C$xuzd16M4`EI#fn|GySV5F^FxfR1!mr8`W|95feIczl+ zRCFi0R2%bizlJC41~dy+&`w>M#F#X(>$S5x7YOW71|d^N4#NN9!+pup)K#b|ji-G9 z29Crlrxxs3#rPBlj|Ql%8SFi)UjFWKJgT>sCxhP( znxh*(8CbkFH(42*11;&?~fg-<~3T!Gc9HVYpOt;#1BtWtlyxc%I?B$ zOchIfpXVLsnkI(fOP)75=rh>jnQhQ;&|o;t3+sM{S!df?%`g?$h$f0VA)3Rlhvhv- z_v=&}n)RfB4o`vY>d09ws7?i|aIq--NTEGxeHF2i@Dpl)=pN?4OlWZhC~`B1@KlXp zegi~S4B+Ywm!Z*3Ea=vWtRUZ75RIKL^R$fRkmi0k0&;PepEM-$H5@jKQ_NFH#WKq6 z<|>A*kXAqgNlFVJQUmFQw~u21wAvhuVBuKxE5|%jliF_w(jLr1DbXYB0JJ3?l?n@F zJLcg`sPi#_Ay)jeYKePJ-0?mb^9d#w17$r3&xP?TdzPWqe3pP0I;aLt9>_ghF-(+b~N}o#;T>pNCUX( z(Ps+#R%BwjA5*opHPv%Jgv{g|TlkXTK>hnG8Z^%fqiBzdED9>aMtT=!+G}EH(S|gS zP^b^PPNTj8zeD&n7mTr=S~Ykl4sa~F*6fwCJdXDxPGPmxeyMVQn3e*p?U1}3z-p_> z`4Xjh=gQ#ddpMQ&oXH8#(dgCvMU1Frs%Jv$8T z)Ht%Y7`B>s$niZGwxaJKVo5Z(u*Gi4FG;A_6A;F`@Xbp#G{;~# ze#)j{(Qi3~v0o0Kg`<9rojLk@NacZ2fRbZVc@wZ~CvO{xt23Wn#-8;+1FW{j@=OpV z;iLg=8xTBID!5ZDlSWGmg};lVdzxa`h3NQe(X>+r;%bF zM#lFqiM5%A%AI>$<+O`HjbhRUJOF=n&fXU*e%LCs?h3lUsEDp^AiNtcvA<-2Gl;^0 z-G`ce6WOLjd2^dhEyB8R-3{-9cDXXz)97_=k%W?Gh-5umQWU^73sf5R*>6O+}BZ;!tgxQpcyjv1%$)l zdSMf{+sXJ!{ATHJP+vgr$kjlD^SEfQbFTSuW4MIV>#NrR;}gBjT8*Udq?`5jYyPbrvc?6V?Y zye5#(ogXXGV+EUpVf68JdGzc+Yy&e)6{K+xC@m3|f|;6itY{1P_M3x99vcbbjhz^X z9xBD*{b3l|2-Wfw&34Q>8_G?iQfdBC;#XVK5-8^`4_3iwzyafFTppLD`G+0162? z;lwvFQXENQd|N~G_$lyNu+_0i_~aY!U6x06B;NUv2j;m+ID#qzAvri#T3Wpnvk|E5 zaTaZWXp>rsXXw`s$>NDaOU9lkRikSU7{QS;b+w?6HMRG?~moO+p#rbqa?;fTdsmI5RBG!QnYHl^x6}AQPwr8nmi4oe+)Ant5>U-=kOKC z@4X^SE_<(p*H4APLZyay*Y-;K+{JF#D%d1kmRow1_f3j~MfttKqNhcLVYb>6V>{&GZ{diU2a{0#>Zn;=Ir?uTELb3AINi2H#|Taj z4h|hmQ<<7NFeMKk`+D#vdT!Yb&-Hv*#-=|VPe!M_r1ew+<807R@+g^;7R~eD9aD7td<|;uj=`VDn~-$?5rHf}vG_ePLO!@xiv!EV0%HX-k@x2CAGzv-!s84d3nJkY)+L zluu|c8V(1VmDkMM<)&S5y-_gTV6gpIltfJO04#F?#gRJ#BlT13FeRoAfQ=)i#eGiP z>p9`;4VJ99xF61M5w__WnBX2PSf(qO7LNU(uekM@E{V}!yn_Uk^Og)w4I2_|J z=#&|

s)KR)F8~<^ToL)I>Aiwr+|?sSoHxBR?@L+tj;IWc(noG~OA8T5oyI1Z`yN z+!*t@JCd@Zbmdh=F}b){aT)9wdS0B|Dmu}QcfN$|%D|;>T&5Jc6^VZsT0`t58Ao!T zx4~|7mc>yr!k}CDX>howo^{c}x865Qk$RVBeOZ63h=$tadD2U>_ECG*ay31#MG^nw z_F(RtG}u@+0JNa1fzxsoB-er#OduBoM#deuZq8!WEW!)81nkTe>wK*X=ZpJ-=23tP z;fDZ|^A!1;BZj!Q#Ws+;C+}&MCuMx6mixuZWzTj%JWa8`k8_gvW%T+dqT=%|J`?zi zG3^1mxHZSsSX}{$sW7yqJ3hkYnCrvkmG_ytJc-Q*>G`%P%@vo+qMw~_$bE=9j-%D^ ztwm{I&;hU%g{Ad3)eYFRgv;sV)qp5YliXVq6hCIUQZP+q=okbk818=nM}H!;OQpq~ zP)Jz*Xd8h=$3?P^ZzSLV%dmdyE-RD3D)Qu&2$UF%LI*;M2=;(0LBFfY+2mWlKr`DF zMmzgRko?;qw$bDGB;*axj4T9y@k>}UKZzw>P~*$?1}lxPfIZk3&*A$Ivo`=M&`hb_ zKzQg)Zr$F^JS2<`V0kIhMvvvC14C9rY=@-=vKTiH#&CUs4b2u=-qu~r-&YigDh=k7 zuFz9x4tE~M`ZK@l9!8NN2a=>LSjWG`&mS=Omv-P|g6ViXk3^4+VBB^=8GAc@AB!Qn zg95!z$KuD)35pP{nelveM5{bmPY;YF@|XCw#;-g-nnd5nHU>nimRzM>iPXOn98i0o zq?yWAeqxyS4!PGJNnrVnoGhjiV0g(0V(Brwv|)Viv{xIy4A{ofvY9e)VN9|N1R8aV ztbw6=GSp$LzVSxi-J{fy@fKj@8NW&#%f>H+(%6@^6Vn&j+f%U%1!FHq9a)qfOwi9Y zoL3Ul#^{U0o34|%c?cYbmQ#6pcWZDj2d-{jCfr=osL;$l8>BkYsbZVJmh(-H*%IM` zwkSVpS9yC1^vyCS$_x^?NV~Tn^v=N91tc~fcKRNmv7$U}j3W=Br4`GgP`q$Hk8@a| z1L*q^114K>ZYIueYtIFGQZDkwmaN-=rET#3IJ1_rOz5Ly)Bv#`TR?^u_IP_H}u6F!mYs_4U)k^&@{%Sme2j!1YIO`QCmOj;g0K3 z=xxa^d+>nomk7rsp)Va-5U^Y1FllxGWM z?*C6^qVAf*M zMj=WJ0`QlE=8odN730fV!ElBgP2UghE{zH+NsQajF$gRs?mzv&{!N{0geoMI_WEA1 z1#%3zp^Rh|!&U{x%1C`JzWH8-r4jQ--WJyIZtFTY`h%6A`R?f;YF-My_`MQX&u>1A zwGi`2FqQn>D{x@IZ=yz?C`tLQ3$1%9D!8I=KaUCA$4at%=a@vF_>M^WsgFkCKV9Yf za9&Q2>mtg+!nwW|3|W>lAV?CD0f56jN|YYUO9!Sf2&+3A7Khic8z0VwqSXr!&dMV2IEC48f)Juwp8xjbgVcYZIJ zwFqMnNTx

KhSlV#?(cWPbh4&}T!Ij(m5-hB%G)oVV5|kYcp$ zPad*~J%UMy3ihY{@&ALEf^uXZ_$=}mF|n}Qe1C%S!-rNGbd_yF!Y2C zj{hbL<9PkL=H*J1gEYJMzTwD=aD9gp*yzpmqoBC0lv^Xt4Zevox5>Z78(T43ke!Gr z+wM2?D1O(Wbn$IhNe)P3%>rZK(KqAHLfs$SnpkQvZ1vGw-;|-ev%a9#V7EPTcpd5? z|FUwYAcRro;cc7|;-1yAjN%a8FOh|6Xzza5UCNSzL=h zWb0TFJatVNn#o8DTrY=89j#6c`C?sez`-1CxFcK|^H>JDC*_ikdOWTO@N(bz9xqAB z$YG%LAuL>nBoeCr*5``%|M3bQCo3H&uf7~P|BH38zIXXziSH)Y0;zqH7RXGOU=>y5 zij`H+R`5;FdD_-X{ai1pmT%y80mG7zETP2L z{Xh+P<=~i2%SqUWlKj(4M%ULi#EzZow zCgItE(Jo3Okh2UbthI8@9TM|{eT?Ppezwy#8_3f`v7G<(j!|{8abfmL`V+>w)mCk+ zhVqTrbvBrQNkb+2f=WrNEsj3PZZVw+mpOeQNE6jmN?`g8ezk%2T}{NiAE%|zBCLQG zKSC}c`Nq|bz`lzg4=|E73|r;v33gEKz-tuKhuyFhXQ4G!t{9Vej-mTPltlOAWC)%l zJbL>rNqqaU-A>i>@SV6l2)S1JnOM(%9;-ahVcn0UJZ;HSufmK1R$E`bANt<>_n6ZH zH5{1GT%GW>p_A1lBGc2--5!Z-#pDL*v{Xl+-YokWK|in%yG0fQD1Jr07=Mr?Lf|(v545Mgeeo2Gc<0HY9ILKLWT9TP3`Sp_)tF422eGo>d{T>#1 ztBB~)r+D_5i$T7Au_`lgJ=hss_5d~s?|C+e-u=b1Lo@vWp7jC@XUes_7GTD2E$hDM&WwRMXnR767LE>o2r?6 z12r4~*1eg5ZvjQkd%`6$(-0?L=7WZh&ftuQ8}a-+gjk!e1?jOhG9f!h;DhDYWYhSDvAzh;}`j{_9 zQ5^S61^H#gvpRRr3uF1=!@!(sS4rDF-QAo`Fx^Qxc59T8U1(HDjwC=WskslM*(-tA zjkzXwB32%_$K4PQGtVDG^xO;VpoWzk4qFXM2r|G*4@|qto}8&!O~GO zI*JKS3uOktJol|`0=voYye@6s2;0W_CT3tU-?rQV0ccORZrzKIEgpHg(G`Xa=Ap9| zRJ;(_?k(_}9HAxR;|OkWr*JC5tRyJR8aL4`f!p9G^Nm0bujR(ZOE_}mY~-Vo1{N30 z_tib7=M}Gv&!#=8F9HE8DOvICE8O&@ZYB=JUF$b&)s0Ouz2$kzcI})P)4$==Du%6u zNPZG$pH*b8Y@EOE#j?dVHH+&_BSC~_-N9c68g7*FV&hH>Y2P!CV|rHb3A;~0_|X;5 zvHUI+f+z1VvGa1ZRiKjMaQCKX=zFz#dt`%W`|^P36FG?MheT(gSH>0LXXqFPZhZ>7 zgqRLm$YvpO3Dy1Mo#O#lgYX@r&@Gb9T?-?he;98xB3!Wg8Y5StQ6I)AM+`zdaFaCU z=J%kx)SQJEpFyeB&D^QcX7aT|Mym%!zj6Xci2lW&>#_QGBvR;CyWs<(=2^T4b;ku^ zf?;d*5m}jSJoJkQJq+BBiRRjK>$H<=wb>w81`P3o0b`}d;y=hRn@rkasctNOWdg>G zAjQjFr(+Ook(CE1@+IR)l({nqkQQAbQ=XM{=W(ppFz=8PTw`5CatYY<_*hM=|BcUA zu{e?`PNwmqse&>H#&OW}hE|QpAHFsf9`A%$%tLrz6+K^FKJ_w@^Y&HrmgfT#e{W}P zlwUBi6#8cDHm`ldVrxIz|xqNN1qlENcuj2s#wVH0x?gGf2{4dW8Ka1+XuyZ?>@t>J--7w2L%)NU&|iv~W}MXKpnka+eHWb1;G($#5)n{lylcy!8WKt_%(fd7D>DSB3lFpc;dQhf*f_qDW9`Z zxuei!tebBLu?U>C1tBV2FP_69+5wHYJjYmgktpd93MKp_vdn#(CRcko_y9yFaW zX$HT7B^iqh8TI?sa&-4QR#FX9yM*zsk!*z1fFlrV(hH=t_&BIm(#0b+ylJVq6tYOk zaOMI;*B`*Br3S3YS$f~CBWaO3cw6fC4)#JRZ4-69L}@Pq@w5{Qt>VYz=XHeXGPw1q(|bH+-O`$y*ys0tAX7{S>e)*(X`JW*tGJGnYe zRV*cBk@!6t?HEQ-Sc)op{VlOsAS&O%!qI}0>j)(Fgmq9XsU5P2=#BT(?pKWCNT5j! z9n=?4l#MA16BAP;gbqe7Y(ZgBbQy6O1Fnv{hFJKfwElo-Ij=AUF2l&qH;&&+SOdW# z%ei9CrqvlIkJht*n_-*OqznPnV=$ONPJqkeSGRf z%G!kW6ZfaSh>)odxM($U(HdlIo^EeE_^(1^U|cnHTPQ?a+VZT>P`%1c0r*U@bPj-@ zrSUA)9V_g_AbHwcByI|P3#KqghoxwcB2aG@#?c=xmuQQu{vrBO>$J%aANod{sbp-X z7fTk}`&MOXkWfNRnZ`Y(ht;n}M}L?q7_~p+51opw%V!o?K{f%KmNwYr_4ehyeYYS+ z+Xg8+n7M6rn4-dH9b?gsF`ob+l$DMM^~&H5hX@>AYQf%WLR&0w4Tiu_^Fl}M5&|4Q zWMCS*fhE$}ijK?@bPfQtz=kYLW}C@c64-ml2);*QP;Hb^DAAFj0EG4e4Bt16x31%n+fV%2C~GuMFZaYf~|qfPJbODm8=mj z!Wi>NGD17XM?hACUzX6$K-9m@>fRP@ETr}YYUXVrt>}O=eM9vpYCrNNn}~?KHtkdZ z0VB$#86;xPGsSgn9};c~x!D`B4PD0%oh)AzQlyEALxYOtKFEc~cfhdR=YjmdaUYDL zy4M07*JVetE~Mw`b$x(@xMU#Ue*_pJMZJ>I5X)NE12A>Jm4eE(=&_N^x&lR}In?Xu ze6*a`Z4I#%W5lY4!VOH*iO)c>XUTXzg5F`6CTza2oU}E_M;2(8(Pj_s5uO0Z94m?< z;d06CPb5o!Sm{r8R3~o;z8`0n^a0yjJ$;>P*&PFn58&A@_CmkC2~{(|-oqX8auA~B zC6qWdsGL?#?ax;p7x!gj6$a6N{qj>p4zJ+~B8+emLuV?OJKz#qJc?X<| zjVO018t8+aF|u)y8;&^QfQ!`y&N_Z_B54lbe1T;10>iflxAf2o34V&-P@sQ|&OCF% zklklpOTwdvX8aTh39OTNw+XWVyVMQsG`2JR+D5?Xy!e794hq3h>s{(M0(L@@ek^K6 zi;&uQCqA6;VVqbCNS-UXk19-k{3?6UoZ5{=`JL8nJi7%8IX-Pq2hvPGgz-&dUd(5M zF!_Uv+Gn~tquJt%zjvK9{u1ciPUI-kMvp5-TQG%5J1pf4k_T#MLD2)`Qs^E4DCXd> z~|iVf!*5B?Nd@2BPD3XAaSYkbOkL|33I4}MO{wR;g4bT(P}Q zEnoqL`wx|CES1vuFxe^(ENh5;NX;;AUWwpe9bI!#T#D->F!*gFL4t)GGd3lQj*wrb z(%(in?l7;<%}rv~!b6HRc^Z5Gn7CO6%XLP3CwYK~(;?^Gz0(PM`eAVNb8o12EjXOG z^M+(yUYJg;Ac$yN?RXc&D57%{vTpN|L0iCXva25yXYq@18U6pbdmk{l zitA4F-0C|$cSa-W&S-=NEO5Xi5rX+nROC5##x*= z;q)-b1D2D9mEX#VH)#~sQDm>9IK&_E`Xv{kWVL>LS(%U*z9jE`GE1_oKh~d~KRYJa zhWGo`?e3Y@O!sXt*x)nM_g0-cbxzeeRdws%t&4jRKL}+oe~Mowpx9Utp+oRf4s1}e zL0cr4B!EK0^bH9=&(mm@Py?LorM`NoHlWgI5+~N;!R@q+IIv7j1DQjJ!#V9L7H#nq zX6lZ$L^Dzi0?=6whH)`stR%!H*oLa5#e~bdXO0k`Up{aFYZsiaL{|^`MGhQ_d?#R& z)ehu|<6doJb=|QtJN&TS(9a1d+}VIw*t~CKG`<8qY1c?V9uN13BiCZ1dXD04J%dhn z2&4N{)~j&%`iqaNI7mh5Q02BLb)@MI^@3<^>suykXEmMB|Y8bK7>djazA~(Scg}WJAej12- z@hq=5><%*mNP0bfn?)SNswzC`k;ZUz14w*Df`8&HNtrG@e3pb|A>z@?yOG$iJv5Sj zDE%{YBZ6MaR{6VH65G=TH2KqUsaDqpe)7FNMhBl3!goD{g}q=@N+c=rG6S`{wN`Z& zPtOy566xT`m~1yw3{2q>e8NNf#nz*$@TEJ^H~1t*+N9`wcXP9sq6x>awKL6<5W58P zav*&6Phsr5-(OiAnP|nYC#dbeCibmbf#gsVp~Ye7po#^e$N*NRUDXY-96<*(cjKx! zy5JoWf8e`N@-=vE?hMo`1()L>%Y?@Rd=DPOR%`pfx*@iBWXe?UT^H!82ZD;_S-2Zk z%kbF840}nwmg>kqn_4YdZNe{1#8TOPO{foFWSKJSn~d&qX_yESUL|6 zhG651kFUf=!9jzMM9#^L=py5#%5391Sh<2&-SJ@)mq;>oD?T}Mx4|os>n7z3o6VXRP*`p14E86}j(sp-@yp%1iI3`0Q*LLUs|w;$5o86vM-bbG{Lz9D^0IbAZnpxP)D z7J*|EIdOJ2Z9y?d^Cw|KbUd5;c^)njf>uaDaV*{aIZT;P5#&2_eOhzW1!QF4M zdT>g9I+Oo6L-6qF1dahrG;6NnmkptWdK}|N);~+~hMLHsj-OpsSQJ3f+wdigxhbsF z=wIT3Z(2y@+TRQ-gJqF57?9LKpADgy{lWTR@_vxse<$p82Tm11+Ru0z6HE!*_uoG4 z4ktk*-@i)qzdi3{>c63GGjI5|o#@)tmFJWo;FRjMIyHr$vN=2CSSO_gTvT(39n`pOGlHHqbCd0 z;4`+5EUdPs$wI7_j3bz8Z>5*boaL{&HO;}qZ{FzrI5vux{#26S12HK z39qg(G6JFDV;Grqgi537Ya+fD@LaK#-R-;<9AVy>v(OhOD>wJ zsRixWb3(lV|7tVxaL0KNgfUY-!v~d?WIMVcBQ7E`T zyVyD!x?m-#>s)gSG2M~w8F6ekK#`Ur5`fy`W2wUl5@2IV$}q6?_+Bn3qj8I__h_vs zFQ22S&zD4k^IU9aUJh$A45d_ypJSD^3!~us22yI9w zR!~`q6bKy>wbVXNwhS>womCQJDAX)o*6e^M8Ucx6UwTaNuw6p(`57aPQ30z90#z}k37@36P@q!t&(EC+ciC)`c*=iZINip^)fr6LY9D_Q(96@ zof6%h;$PyWg4{Ws$?y%_aI!rXHwh=N_2=tAxrtS58@8r=W;K@T8n<$2TMtiHJXf(Y zZg*8G+#Vr8WDfy>V)0x&(zm@oh^n)J37+f24&c}MHIzlY+$frqldGjKdGltKa&AHr z9A)gDw_H{44pzbe`T1T}TB(*FC)nkMIbUFLO}ha#q)SQHZ&4}j&pA1;U8XkOE7QkoL-n6&Fd?H(U^NJh=HCzFa@g{D zym|;-4r7zB$~s$Kby;95e_IO8H(qBrsb6u(Q9x~%RpjUoe=n33n-4e60+Ba@gwi-TPmIU3wAy**|wY4MzFTbfAPNl!iTvZ@U8>XocD&6l- zX^Z5Tvd$z3%mP0mXr;{a%8JrCJYjOWL45Nke6U*}x(&_e!OfUTaCcyX;0`fK;$}v} z(}Ec($H826L-w(F5IY)5Fkz#;;LFN=luuR>_r7pSFl@c7Tr8;mdEkZ~yBa;<*M%J3 zrhqRPL4Wxua9k_6RGdd+*qRMHG#;G4klIgycIybXW5~-8&`auHfLNQBkM;w) zVM*pg=yls{v!f)?`*onZfTeqE8ijVzbFiIp%V&Mjo)UC`%|TJc#GFl!6|tC`kU&Q~ z7nRdl?C`taM{T481p<95Eb_3`bF4l!3zw3%D;UxuMki?S?-bkxpA|DJX}+(~h5ri< za*Uz8v;b+eEze?y$1(>Or`XNmjLby)3`Uh4Rt8v2V^zRM#M1CC*J1!Z$gDReGmY6_ zS_V~c2n#?)VtXiO`3A{d^7o!=gV{lhWM!pPoiA3#rRX_~Z<<4w2H`Td*ukvnM`o7^ z?PBkgT9=E|6V7H+`MH>EvK}c|d>28v$@=W(Hrj=2L3c z$Z^L3Xb)f;9q9(C#l2aewXxo&^BB(#VLiuH5Q+u57KD>XQGFZ57NQNU)sX*BgW*P8Rd7wt~7>jsQdJ1ve?QKFn z6)PgvBSSfHN=fnPQ!X~-cici0qLMF2p(JT^(8b_X!X1-a^IC*sKYnPMt%I}_{L|40 z23iXc8vkjy1;jEgV+9*jo9S!bmmz$$qfX6Qp^+i;&CvE$K7m=hE6v4n^_{G-a=j_T z%q@6oJh)yQ-W`5$RT$agwCLSij+yfPy2$b^;&#XNINdv0Wp>GzuVp#0xq89E&iF^I zt(#&0zDM|6-&Co7wT9-l@R->2fHc*wZI%Kz3Cj~GZ6~k<&q!wbzdyF<%rByrf{olp z**=l>zT%&=J~K?LzC~-NYGP^hml0#T@pcSk@|aV_FB{ObDXEw_KZnyW+x1@`pVCX# zSqZ-nt=|)1ZUD<;+de3;Bf#t68|TI0!C#HsiJ3KW(5Zz^B08{Y?<)cd;8OmW=}r1QfhzqE^&Oh@*B}+|DCyb^q-LAq~C;lG4dKe zi6?AsUY0!_Q+{Dy60@u`hsx z~AL=VMDI!znK;x}$qx&9Sme7^2Puk@CfJm0&OUN9LMH43{}t& z7vza*szbdcwp~Rs@G?ZG_cd&37OlD;J7rH)Ca0I000X?26&ecH^Vo>jw=TrF*brW!aLv01d0rTYa!+)S+v7KlbFm|)(IR1jaV$J zt_)y>A0`-WTzu@`lbwOW|LT6B76ppiw z48yibW46r#P1QSx**=TbSH}8abwslGi5X&WSIA*-D$|ECywxcX9)PG8{Qw@4V5z%u zm7t2v77m3ZDCZGglnFRdPa%A~!U&+lzsC%qAVMKQBY_n5StP1H~D-nCA! zDsVr1cd%I6TtpE$c#7U=7|w{32cfdRf(0VX>R403{415G_z~y7a)!AjYip5gv>^k+ zBygEx>+?0w0=9H?__^6eLQKE50vR^xcm=3Ws$y8>eMOJt${@gj$Mv{$2b7{4#mDw^ zim3^o*CFky%I-{i2yG~|3uPnkT__d@W%FB1jVF&nLuuCo-H>!AAL~XLk0mF+9LQ-Y zeR?F5qUQJ-?a=zFSRAxQU5CHjLn6@*oQT1>GzIR?AzDMJFoSsb%ptA`Kf1GD||hFQp`6JWI> ziu>x3J#eiHj$;3yypE(6zd8;}wRD8Cf51dfy-pGwH==PpHNuqO5k7jd9~4&_8-6d4 z9iS}-+wnC9IJ$zO;3+l$)ztIOiE{Dgbv1g(BE-{Z3{o_=EcfHpZ3g_Vo zh+*rbyEYdHhOJu0cQTTFTjDTNZeRuD+u->0Rj}jNgCMNFt@UJ9y-23PBA&qOmgoKJ z>fvbMBTgPZmYec9fpOmiY2RiN*Qw_#c;Wdut)%Il59WhRZ>m++zxg5{-68WG(9%2~*ZPx)Q_5jhN9 z7f!loH{dCG-Q3}FZ5|(vS!vDAd>oA0 zNTQ9dIc(jlxxTu=IlKeer74y?-fguO4bk)`KZaS!k0_;Tg0L1 z{Li%jrgre4#pvgLbj^|+Ue?)dv4tBQWDHv`#IV(JjV`KR6z>QSyDMO2AhZL)geRI; z$>D=ZKGNtk9w|*7bY=012uyc^c1em|CTtcsD{ge&$b=3{JbP+Z>9B`x+?gu&iCEYzFIk*hY#;L&azrJE<^~ zf;464{AQ3AaYRUrxE%Q6RTG&)L9+B`KpfUUWxod40eEY|2`HaiaY zIUa0)V(>{0^+42Tn#!u+N6oS_MbIQoJ4Z9;9cbwAk0K=r)E_WTchE?tII%D#wn_Mq z!j+~&XC~3LnVZak-{}~s9+S8)&dZ#qtp?UYh{!D%RBwc+!*DYdm29zM?@U1K2`@`; z@V@$>mtxY=M(iJLiVEn}Bs1cu=+is__LPpB3PNaf#SeDYA?Jyq6QghFl`n;#KuBQYSOyQ!>1Dvi$ytx{%6%2e^)WURhF#oT7u(~LXGjzKY5e`j>OdY4L@Ec=IlsMnuN!Kx$TScMbaV4gurXZc%|~ik(V$ zcd#ZA2(Ijp+F)fAu-*dS>lS0bkzl7j-X#XkXf|sVv2aJ9X|L;%W9DSX3om? zv&|F^;<2VGc4vJxdP`&e3?w;94aE9KmV1~UmD*YJQ??A9KaHKA<%@dxZfk5WP2TJ# zoBPXb-O^sz;bN>j)32((3R9KOo!*S`Y*^|;e=5NOT+wQT)mB?LiNwfP5n~@4>d2OJ zADx9S3(yV2kxcmR8A^-`wMD)gErdFhrerQPkYeGuRPf&gVohWR&KA!%!xZB z&Yi^Ontu|P{17X(;hRIL{N(_!Sdxf#;}{BNqANI=_ruo1n?pHcIMov)yb&$@L39`# zJyakY1g|_tPn$|9#1i`gNJyRu)PN&ZQykp{%S)Ry+BXXv3COB=mtxs79h{7P%sKac zENX07FVTmSsHByClVG*AVp(GP@W{iFOm1fH`QqyPSJdOP2e38fgAzDA;*{L7VA~(( zRJP)Hf%5;rQw|SlrWIMkuyswOr}H?iq9*oNpaZ<6IZ0Ib?$3?su5;st1B_=rDRmC%2(kd*vG}((Df; zmu$tf{I5)4we?*Hcpn(>)GlB3vgAG)X=Kkum-iCbuRaZOUmRk2F0Y~cQ!eF-%3~X3 zpng_7g>OY3!?0DQdJ zmGICCbhd{^Vv_WT?oaLVsi1HI`oBKD!j19~7dGPQ9%Dxflpi~b@?SHu0wk#ve?1!? zD#SL63`hyA1sR+d;HE?=ey=WQs;ZY%V;K$>l-Pn8xt*E{RH0Ti<4|t`%uE6U`ihvB zp(%DdEcnNR&;$ zP;3t3ynNUbv$z<`&f+42cvfbC6;?vz7mmo3Q}RNp@`}Pc=unz$QQQEUdj~|t7X|1) z#2CoeFp7z-gHaU8Jg?Y;_BQ1gHVIpU=qOc$@Gy$6cfATO?uDfhtF5B%Ws6bIKWGi! zQpD5tmJoZ0?u3coI>%wFhsz{%C=X|2mGeFK>ez#4#Shq`)-mvjOr_{2iZUgS$9;Al z6)+qq_o456<|Jd}(H@3}Q7eN(<2MH1*(@8L`lShRAC*e<)N4?$&cf`6x?tR$6FdDj zX!qst#s*KX{3vam4H%2_yE*_%E50ub>3)?BTh%eq8K4Yj?mmFk)`JgYU;!w9B|z!D zCYHbcQ>h%d2VI--)uN9Sos<}S)*2H{RPV-o+cxaqJ&dR9HJ6yd4XBaWKsu5b zxx2U;A0OLfXid!Q0km6N{Gv2FOJcxtv z_nj6_?#xFs7NLi6v}f>{LVqYj*ywrdWJ&a4HlW+0V^ha+CUBt1fG~M%v&e5>QI@}X z3SG5#^mBnhxy$?JQf}bpN8e}1Hho)y#9%A=x2_7Def~UbL&BETM{Y+S>RC*LeE+J@ zzWv+tqR0DZEM8f~^l&CP|88sj9|LsP&H%4-l~MH0VTWPsB6E54i!k6)W%^=3A(^m5 zTxN`J1lkOAa3u7gU^-c2a1Dbn?ng<1Rb_inj!u3kNSk4E8v4JV#!LcUJ5^20-hnVb zA@P5ZVD3rd$RrD5xm%*XGM=Bh37=LNMMDgyKayz>89aWC9FT8HDWLJ|zl8A(tSLB> zPmbe|JbAVzxs!i{7*7EE%!;_ATe+p92hh8%$S+nU+K!q13f>4o3S=_CS;kn)c$Gly z#iX?6Gv~PB2ilZ)epXx+4om=uZDl-QF|ovEl?Mu&xBc?bhTB+1zn9m_9)a& zz&r(@D9T%JhxC)sAbJf(3pPQ)bMWwoUQ`rUV6Mi(ZYfOglyiBf{1&9sz>;XdR%9r~ zz>^b20R>MvxU*oYhQxjiRJEeyx!&6Z*L1uR?S zisRB`5@8l$kQZ7Di9s`E3OoS*OmOUK_!dYda3l}Y$d+!d@nQ5$At-7oCe1o9Y1WZZ zqx4WamJW(NRqNmZXd@w_8~Bth;nj%I3Ezbw9+d{csb!UWN<28SVn7)`#SbdBC?%j{ zNP+#6R=WG*5E!98Fx<4fX2FceC6#H2MH5UhH!Ha{IJi`76$Ze}Vk~tAaU}ppNnpeU zEe=*pnli>s)1f5F00^6qqK6;RNzvKBORrsnu23VBnM;%5{7gI%(q(- zG!x+HX##pTcz<3bVJJF{*`TjU33r23N!}>FjtL|OOfxVbU81&>OCf&cjkpu=023{U zW!5u+UF=jxuy zwJCD6H}vnDPvDdR?CMnT6RMbXPVvitGM>XI^rXt;*D4rr`&!F@pA5K{T5LN*c0NK& z8BxNkVC@7Y30q15=(q$HcMsIbf-eu|$ASzd#LS3Y4OK$Rj| z^_DG(MJTOGaE@2lyUjqvbpWQ>1-=zS)T zO{fwY!}-2&Hg(C2SEx&>l1;B86|v9*msNc|;f*Uhz>z`PFD6+>U|r+Wnf)M3XJd&r z_JbFTfTTi6& z5ddp@j1qH7$)Tn!v5O*Qk&wJABuG~y0SgxF8l%@hSW&Job+8K7VAEv&?|O~M&CF83bA46{sQ z7Rzr;v@o!Qv}y%Eq(ZF2D>Jx@1J0u9C&d_3kPU&@2VCmRQPbBd2$pWaH%P2jk!h&{UucKqNaA6HgD8th@)0rh|{$a&Q}h zYrj*A+}g7Ac@|wS1#|pNmEg&IiDYH@m)J*2a@fH-hzX`=CyhMw34EaUeW=3UsbX?z zHK=X_?K@x#ykRjXkA$I2uMbrI#$aJD^X(9y94sNOyjJ+Z9d|oYzHP)CFyW@W4J;_H zNMORKcgNHjUU~r#Ds!j9r&-x{rLPppGnh($;&zpCV&~LiU3>1UXb~UAYbp>j#V={d z_AA4%zN0Gob@clOK91#`!#R2XyD@>DmW-~lZTJKRDdhuEQl;qp8>NoBJF(fh>puz( z&q<`utPAy9U!9Y~&q8MPwOFhFxGiq`jVY0T`3oDz|BQH*e(e3f9OvRa4kPsdF$h(L zF}#UxkED{MQ9gv>mc0k=rUHe<+%m9t`S3dZU~ZWsoveP^A~Ieab%;C+luZy@=(C|TQ z6nBsHO_;Bl&*jQ%nGUwH$4v1m^rX)Nt7l+_?SF)>4Nf4M{^9f#B6o4$uijvCE^T8kiAuUi%C5`-GM4=2tDdSQ@8cy(R-klctGBS41*Sg{^k z-$6x%PM~UdJowTugPA|hHikG>lG8%s)X4fsIP;nfoH$HvVaUx_76@RmYJhpv$Qbua zfD&?;JY`UbWHe@o>E-q{mFnV{9=*&ZEtUvCaw(`~O61~|4)z5nFjZ?hGG}C$cjGN4 zD8#e64JyERKy8Xv*cjT)V>2QU<2T`g!A4INCS~BSCVe+=7zUekWRVAgN4d-eb^?CO z=e(7ivpdG53Iraw98qGUOi&FRSLqB$@EpOYS81lMU<3B2QMm!fc|r19cBVh%1)%== zl3jwAj8n>}EzMcHzkmjgG)#oW4?9W*u3d{WU+#dXaJHywU=z577yALaESA&aK+_X8 zpd<&01NH2^C%zcv99a;2RiRaKP${Z#^cdWiQR#SF503`*9TgvmnlFz@VLpL_b{PTY z;N^k!D*(iG0l)0yElFN@wcTRhDj~B(c&b;*rB}0RnqYt9Tr| zY&~lz02KrwWeV5=V*dtWgd#A7s%ssvT|xcSg>t$b;2bV@oxmk!uK02?JPyD46cfvT zg~ywfA$)ksr2R zVrZ)P!HIA*zOHPr?PTBJ=-MeGe+G1=p?Krq5$skQJozabp()%hw?SbW&loS2F%D@^ zk){)1Q2c49KJ@4oG@u94@kwz_tg>F~=A_gj#ld&=XR$-PJXE_T*@lpvFsE6A6km)a zpTrYf`yq`9er&)-&a>-!nFM(QZkv*^n@8e0ym~qwAD>tVYLc+@yaIrUU>+UQl9qG2 zx9@(~jQ;6?+ z2J=C%%Y__Kx_w4U<_+D&HA5@+VhVu8X@9LhjK1)OIE1zEy$*@NkyI9vFMm#yDC|G$-%cdR9>4(VF{{)mR~@m&GP)=Fe-g?tFLS7J(u4H1>5Tl}qTt zJ~gz&_F*K3UMf(~u#2T4n-~X#S1FUM^fX8oA~|BI^`#^X$pTA)HgSy@%6pr%MnLyl zi`7@B#LZs8+AH<{4DA0}6;G}I`kZs$`8?A5=?KGCL6t#<_dYd+*`7DeO1wXoqc`?N z4#QSkzjIuM&WkKbG9kcwk8yOJx@1$%$Q@^z8AP#mSi)=1lA-mxMYf*Ex%?gfXEe3r zghUSo{c|yd$jzS`2=-M6!wTj<@VXpNin+}cN1o=aWfmAG@zc)ty+$S{AWq(60ie z$6qh*p+6Z+St=RCXG_6egm-V=vkpakwK%z2W~C6zs1GJd7YGW7r0flS0rewU1t%af zDnsjTirt$^csUQ@(-)$0{OZ**h}Y%>LI#4P_)z*3*M2Z;)%pI7ctxW=Cx@!p-?Y=Y zu`$_b92i-Qqm&PI3y;`youkQqihyOK3Bh%6`S;f_KX?)oN>uf90kvWl0|+;P&hS)9 zYv)LbV6_$YM=?!+?uZU!OJ=ARVVMBJ5*m7fG2jk6!NjOEgHUw^ontV^gA^|aU50d^ zD2H9M>vLGJzn%Pk}G60iN$V-#R+NP`V-4NCn58Al@RNNwgsus0n zAs)FJ#Og!?C%8#s`1;U#MnCm zZ^6)2APLEGmyMx~KT7E#IHiVu<_reFx#P;MnD?8 z?A3V{a;MptVg`aRs{V${nDCR3PLB2q*HveL;&c>>&rP_P94^2_vy_9l4nD51xf&eZ zf!izq{(?x2wgNqRFyS=SPYu{bIjN*gT(ihpI7(3bB+RCqm|=^!A6N9JfJKOhF>54= z4ntX(7PbzNtTuu=->iRO*pXluv2Yf9OWA%Yz7WVZK>+3ig`&Y81>qhr2UdbT*nlws zH$$Zg+mE9#%+1l)9oTZWz_eWC1YQdWyC~?@=}gT-g^3@w{zXpVjE)RKvB$z-_FERq z==Y#2^ySD!m4-3hud~U!5`CKKAj;Rzj1hS%2%Z=ThjhJ5)lH6FU^Mk=gi(E?49IPeUx@4~SsT?c$uFYUNMJ2U%8j>U z;p_}?Jj*#Hhu@go;C!axuF6HjC>y>-N2BwK1D0MY-Z5nhWsklN!0CAqD(sT3whmqH zNIG-!e9ZT*$N2GIs(4*33^n{O&RzVla6(q{^Uu$~25-jf(>>#OtM#>WbA?1^TxE}w ztvGun6JoJ4`Bf6V`vwKO8-MdsN!_+=iNb z{-WtP!hrTeYtOK<=e;^qJP}nC!`34qDz$xbILIEh=6EzbRD>)Hj~!==;9)lZ@A!Nz zrPZGbL^cIUMDq;Nc9nWf0ofw)g_w~XvDErf5{7gIh_NJS6W55Lyf+g>3baodfU`To z7OR71BDnjE*dfl1Lu>KA=;|Oo@7IiT|Ice>@W2hZ$=^Ah;}MMiIewHgIM~}CyJX)w zbWGnYQD-s2F9O6RjSX!q}4>6XGmZs=g-hj)Jki09@5!!oUnC;3d4P@#Xfc*%Clh=5U zc}EHJ=Qx-rIn(VAzKINBwN;YFYAeT&S4w=Yi=RlPmBi1a~JJAZ!I>M_``mYjoO>zqZ3ermwCIgQ*{-0?9H?}HUF z*oOw@k=r^3g^|`#og9=`kHiT!@71Oc;Ap~0)LsFGx!wYnEXDfRvEtpqSYZ(5j4y+&=cc1Suz-cDLfgFK0UQTRd+3N zeoSz|*FyK=fc#e;1j$00A}!I=u(NY)XF}-LYYag_kb_D|QsX6Uocv0R%sF_cK(e@y zkfZ~k<7p9}69}U#Q3EmMtBr{QaF`sS%>u};+{CeL&Iu{2uwi5{Y#l*RQVp<;ZAh;L zAD9+)RRdR7Ku01SOo1xFj|Hg$c@>$XaVGFf!8IMtJFMObI)`+Mu?+qNmJMnn16(US zOwFoLnnN3fHB+b%rV4=&SU1KTL5q6ESXN?$@QfV`I!iL>cK!t7WrAX9_4)t?K@%!a z&5)wZ7~`2tqR)$ixUnm4^fDOvE{M$!$uyb;qz2UrrOK&tFbzQ#hsUyI=>eRE0TRi_ zYXODIr?LnSe{EnF`;x_;m(T59Le{79f>r!h5LihNRzmKFW>912pyvQpX5OtzrOzo8 z4%J9BX(h>rh$nrrdlol81Y}@maIgkj>mmGb;L&g z3v|lx7r?io4v69Z19jg_DN$-tVWLp!`5gc z`ex{%P4~^CdY4zB^_~g+FyxjBqjdqr)N$Av-%jWP=|xyVIu+Vfb82A2-wRELsBLI% z^PTFUIw{F9U}-{$T?R-aWL5iNB#p)|70y*Q2KF)`yaXkN>gHqV^Yk@q3T6yz<_0Zz!>CjH@e}k0l?0=N7 z{Kt|!wr^c%Mo&n+e&^Xy-#=}XDeXb-Ko$Di^}ldp%ck6PjMY|)l8B$I{Qlc84}AL_ z5m(gLtXS*h-si>K6}(d-6r>&8M=XE48dDG-mty$@NX&;#edOCch?L<;Uw*3zUdw&@ zqBzwPB3`hv}t`wF(5@IEEd;FS!S%|3DEv6z~e|y ze0I?z4k8bgw?q#oXHH$F(Nq+aPm^7ZO~TkhycMnSWWUT+4@P@r?K)e=N9OQ~6CPZL zr+n#N;JTa(bNIs!8?N2jfr@-#M6uf1Du(gFH8SdO_>w$=$7os1LH!FW(PLFq9(=Tl zZ)-$P<%~c3dT}4wi9pBWvJlwawt-8?&|;Q|B)u?0#u^>mqh^ zqtN-GofC9dxZf8w%Aln&4`JC;R$|^pl3f9^~kIdpcQZnT7 zv;vf@(7M?x9!52dnEE|e#nF4zc#ff$Ijm_RJL3AphT)QP)u;iVoVz4E_kV;sQFu+)h!`@7YD~m|dWP%NmRIK9XTWMtJl?+U4ci{LigMvjTCvr4`sJ#P*DO{-8%R!e!-30 zn9|7LPz_34#2%V~52T!6VEf!1!t^v*lmI{?pP>dq$j3Ca;s9gl_B}YD+V<6er@<&T zIvdCEK|hXW7@EY63@Xcid=l|MVBzfw){~96lxV@MT1qDOOYRp6z=~p?pq!onFER){GR+zy!dK85?}lVAK5N zF0y|%8*!q|6)h&9-YHdl(mLhpGirXNUu8aLj=Fo0l5DZ@9rAMxoh4WdDt(!}@SWJ# z$@b)Oky$mRVE4M%5gp)kLOHlKo|YR{1o3y)$QB^$NDc~K|KKD%75O|D^D{shenSwV zc>w(EgumgUVDIROPo6b5=x&JlU;ys3IFgm%R_kB zdD8Za`eMkW_!{IGYIw;4)+-XmhmhhcuMv6jipg2{kFL4Hue-VO_u^2oJ9{|9q|)VB zZH-=2n3|7D<0{)OoYZqbdjZ7O#@??|`u){$^trQo5WH&?MFV|Zsx7JYkJy`E&mm*% z4&_9?eU%xyes;v&`Q3Hse?coBc<$^#F8-7Z9o#80{lg7&GlSd5w+ck=w}Fy{JoxQ2>BP&tY|7)T{5OG=8Iwces+ z%EaR52f?(h!!K-*99R0ABy9Mzz*$mwakx8r8a7nATC7Ns2@#%bB%Civ_;77X&u$3O6~dc9_hTig*pICm0`)(F=k5nClK83H z1HA7%!8u}IJ}hqCL1C^j?0&{wWLw>!lIKEb+<}9QfxGVqFeDW?m47{Y%J|5s!lMto z!B0(`qI5(WU?h_GNS2Ppb|GJ1av9JS`1D!It80|5fyjo3au8h{&f{r=$v6-1G(++r z*HPZ9FtT%TczHaZTq;k>0~a#2fC^^fapMyXcg*OS4>>3!hf5ap)hglPHGy+iSdwCm z9AyQ7x+-HQg6Oy$Ly>wmZ7oHv$1{I_AYMyui-o+mgxKvecUWbMLlh6TaqoO9BS=s4 zbPkCI3t@lDH*jg!@p;xZVv?T+0a`GEtsjaTSxynXURi||e6oQldl|r?;LaKuM~T@~ zK^7`Z4i-RX(WjZ2!=ul?i-bf9Jb9(|FMu_m?FE=c@Ju00wX}d<))mVWRM zEvlfePiC+u#Rc1ebi_BRmqZXrFcoymECZ>s@mAa(6c{Cl;h`wjHk_>+Uc6&}$pcpG zC7GdN05#wN%L-72bOuFGY<%?2L=0(&>Q3|mL&0-`QG%&7B9c);E}RzOM|IR!G* zRQF~*GDO#_rO4_TfhmKEEF%-!XcPJzE)@C6jXVBgitCLF)UhIl%8-9vq>e)BFPzIW}ZY1#)7xn zqC}-4sAgDrkT8Nf&I{7uxX?QSY{F+r8NcoTi)jG4gbKAS7Jmy+8Il1CDJTN-)wI=T zFiOB;*s$1>>9#JD1g~(CrUycjH3}Y`9YLER$gjhOq4elw#;i7<3wXdzLm9{~)MCV0 z3kM%U#;;Klja1E`;@K&^aM_C1j;yI}{wksxP<{@>DT})kjG=3A zPznI-VNyKpEd8A0O5x7NJ?qxgNp$=#7&nEc!0*CII)03vIv)|=UY_>*(!B1zIDYer3QEfS3U`*U;+5+l+?)qZVEuxVKE%PSa^^zsy}-V^@tJB3KW3p z2rPKT+-tZOV`m6B1(WZ~##l6(w*0}$2k<*;=)AIGDY z&w(~d9P+hwt;CxS3{T8mb9OX1cai0hOj3AgB_{nph7@4^6*Ci3n}pclLqEh?T8z0{ zb4Dt@lSGdXaiZ^$^&0Wfe7tr|7*4*?Kd{|>Tf{zbX(*cxBH*64VS4g1Yj1uWwdclF zb)UDHyHRYIucFTC+}a^OBc#@|&A2$UFvXt-7bJM)&@E_pg12~$(+1>FxN#ou?f$ST z_fKQXxt-p?vIg{1i&RS0D}FSbv$&&xd#$U(ohjqUR+S#|ty_j?fWypd+@+|bWf|YT&e-~2!gHM)a0#iXalBupw zvY4+JcHX*8Uf*mZAF4RSxn;ENLX^S0mkwPAZ3de<&f8p z0G|ch`BkwTU#s9>lwX%|x#`_1a%_(8Q>euKfk`e^mED2$a>B+?Gm7>Db8sk}H#?CG zoU{Z(Wk49%fp5MB4^?cs_ON|*I!BBGD`TTpYQHBR?0Xh@O4cC9mqPxrfktV$83St8 zh?+$Ev0r#X^z|U=6?8Ieks?|vX6nGe>0K7P;YTq@rc!CTb{K84l^e?bk3+Y?(1-VBsLB^^ZBd7Vg-he1vZZd>Hmq@y>>qaxJPfypv2g+!9ow zyg^{lyIyJppcerK5v4_5#&53-=q=$*+IYzK1h+ShUR)ff&D|C|UL52Wd+PPYz7Fm- zUL9x}Xe>-;0afK8Em~8;kt59Le0OsmL9l?9fDzM==j~idjjLPaMieoKq7DwBF9Skr zX_m$iqTpmmn=vd5h*DxDUHxS@P83ZD*f+qY4OKBb*jPidUZrh%ZPK1{FD(pP8ItJY zaC$UpVxWUwI5x?<-7^(ZG3?>Ilz_@fu!1~rXdkENb;4#yj59<7c8!bT{Hrov6Gql6 z0>W`|${_gt)d;Mfz0m4uA5yB!CMXQ_V_r6$nMU=o2*At965f%QVWy$3fsMyVMcNRh zCQTCNqO3SS_d$f>mkk=Uiu!zW-o#^CjcX;%g5jIIe#zA*5n`E^MbPeB6tL8pzRbB4 zzksRujM)XmkLXe#pNR%Ihl}9_wZ)HosC%@jb=$1=ZWY2To`7}aHn8w0x*%aG5C&9a zPawg@Q$`I4I2BUMoB*K{IpGHYYoRAfnE{6hJQUCnI=LjZqy^q3G^t~$q6r|Dvlk6z zQ^yndWkCBOYtf|GahH}XO^b?);P>|1BHZNT71PkpDZH7o<)JNvRP zOZ{6h&bTb8OpRj;10a9H6!snVPnGEL;2QVNw@3ya5l>c@8z!(vxjmuLn6v8xE5?1J zhzGV!E4E`J^kSe{6>PIv=*DC|9VnejLL*QM-gN z?L&t)n41_-{+AJ%KRt|W`0uPAw)*e(UHkqz=6W_payCe6xyaT(^DM+V?8@AH7J8Gw zWuR2>O)4odt2RGKufQw^>k}H>WagQ<|sf zLBDBX*s*y+lSynK+=CqmfJ^Si`>Ox@i+EIiaANn>m%mNSO+Ql`!mzbGtE-F`A_vxo z!sD)z8iYIvs#?oY=&-?;AnZ) z4jO#e=afcN)VnSBb6jg zX~SGvo6Sej-rHPIz9eTgK43-3bo8)MO9!a=5C|PTjYuSMhFU*|STY33WSJh4lxqm= z#SZ%)#S}PWk(Z?-<(9hIuB7MmR-P-ZMOc^Qy^ za^ zo%#XH;FvV3*&qi3XpigI0C*q9L1n7OU5s75P)!D)St^30Kr-~0@Ha*+TR0#ZCJySN zSa>xKUWbEH(Pa)?;%%RxZ;lYy)Pa;S8h|C8?x570O=-R^(YQ(H{Ez|^krd5?yW)^m z(p;{h7o^yxCYrt_cScwW4J$E~JpfX_JeyPF&YX%^#$WeBktyoLf-!aF0^_hihh4ag zF#^@;IS{G=8b;>d;a9OTMb#HXbOkYS83k@0re;A9IcxFcCb6jjiAH@r>N&r*oo zf*-)2+mM|o@*lsh4ngp~#S7;ER2ZH>Zz+hE!r03x;G0e#9x(bj` zS-`rIrjHdmf!+Z;`w|b&iaf{&E|d$g@!%iOuzK$ecAH!g zx|J1n*;hk1I}LVd&x&GwYtF<45KW)~cLNCWBNZR5+a)S#HNdcSLlPyctRxrIp>v0i zt>@8HgmK@Ki0p2_MNwVL>*Rb{Z3bi~hRTQgWeu=Z3G9BE=7{i0=nhbs^0$o3e7<3; zJ$OJphzV|h)xJx7wEF8%pwP9BPvCnmQohq8%lNY*O7$PW z$yNCR;zxj<9*?Yrdc%hcBRI1Tn+V++m)m^SjPtU=wF^Y0BeC6j`qRoy!qrtb-;M$y zak3v>-FFwQDIN-g`aSEyhlef;Mjv=QDA_7PqK^c+y?6)aNHztvqSEH*J%+#Gt9hF1 zE+T!9tq*h31WSzn7J7O;MrMF;JmnytU!@ZLEmCqbCOj(d?K48s!pDtqxTh+`UFl(g zuyl}wS})P#wT}BNJtQgqr$B6G7YAv&>~}!jyv8y}M50iR=4i`p(r7j@@9xBW#3R^PCyg+BL}A zn8pE7?`-rnRp`~$|;wBRo0=u z@(h}#a^H+iF12VU`Cuhzzwh=4^du7rx~hEb)D&T)ULcx9JLlGphbKR(f zM^{`Yx*n-GxYr{C{R=0-VHP*kSXjcy5!^LVFt9H$<9ey`eK5oiu~R=iE&?8at1{nS zjaK%K8XVG;*10se^YveGURB4?YI3?0*D-iefKDzUvuSx*MD#+P6?RMsW6s}XU>uru zSPgRt?lUO78i~sNz6%2qg!&gobiaiF4a7{LgNLWSWl)TiH9(t=uJ@!0#uFfc=mk(XISMxm9cy6b3@$xD z7TGyj1dtIdBL`1|Wg)xfB&~S6@NpR&b_C-QoG>YBQ+a`zLYBvKQ015e&Cd6=?55)5kK z0E{CUrPRr5CnYRUq%OQ>NnqoxCP;A-dc(hQbMQ~QAn-$5nAKB>)~kx;D{Ai)G^pdrA5k?Fzs8!z&Vg) z0#_3>)rU>Dhx+A#7!_3l=K~-UB`A;*m`x)E&LqqmUW9}x5Ep5HB&H15LEzJb(ccjU zpco8wQ%dT|M{gvajg4i_(8WmwfG06+DkVWnA!(Zhk~I4bt<&q8Is*y9GvPc?ED_g; z)d}B)X{A*JkQnD5667L%wh_S0v(Cim@+way?zCp;7$m%m!(XDKnP|einlV zXblU87Uf0+YP2AIX{T$@r2$GsGF5f2zuk*dmMl^+C^7(3=|k89MP8Pqr~4!?SfH6V zOLHqJTBOX|BC{f(1Wg7Z(}X_#gx!7mcbvVAqbdM@EjcN zMzuCFiXII#VuA3a%2hFn^*BBu@kb40z$)s<^Cou(Ug~2|Y^y>Ua81S5S(+wOv8>jM z;xfrdMfTGM{7#iJGAcwv1ZD4m{x_x5nHq@jGTOQo-o z1Ugy)bW%P=C~sINU}Bmi6+Vr71_iIkFv-d|e!GoCj&2I^0jV^iUIG~JmB(^~)aPPN zq>0SrScFQM3Cm2fLlUJ5gO6=;8UPR3TLuL(4w}e18Ur1fC;?@NPdMVq=OP^d<q!PHcAD-}JLy074hZ=N53CT0P-&vWKynTW zz}z!|QKt&%^u~Q@@tF4g@cD+45bBU5NEE!AhaONBju!;3(l7?C>TDW!61R#=-C}*V zv@DfIVQb)D-rEYWcgu)qD>}=BcSR{C@@nsKm_OtI0}7pikgHKnZEarSe+WZ;azX+f zMBN{x=xy;7Ft3X=|ylEO5Dv zoE)03!yBwHxPA)1x?)I02bKZ)Fe7T={1KssjQL8R#b;BqRcI#+g_^NMGKanB*3*(O zNoFIt{5rZnNAYo$za7e17hWvGmJ#{3(>xtvJ+|jO zj%NGpU$Ubs|Fvp~%m5o<4Jf+t@CJ*Giq*lfr&(DO_y3))dU^?;I)AbsFAbj&#Fzbv z;)P~TrN7Y@?a4J7RSWo{XsIg64|0Kg=`}{y{Ii6L*u8*J#n=SxfK*p>`Z+tY3hcTZ9jHY$!C`+q4__HDn=4DZGKoII?n?5S^5j&R!*fY$3gU#o-$F+ zy+`7{|2``d*odgXrQ-MzTjf@mC2xWE$t|!JOcTo4%ts1Pbke)Qfly$RR4(Lu!_$SBVRKz;YK~Qknsy^7BrR&`fZ0 zuOyjNFPI>h#d?J}vA&!k(Og;PgweIQ4b@Ozq-;bLK3d;lpTCPIB&By~Xk-MvCH4lx zNk+*4a~@(+0R@~hGI<{P7+=I4oGefQ9O^0HiDm*)h+2VB>ZzKv3KFR~i0&DX;FgZ# zy2zH)I+X(QGzb|*!SlR`06FE(@ViSw$5uwM&Vv0ttRodPL3yM#!jnz*uEY}vQKLP^ceD@_k*58v`{nwFu+@!b zb!@c91J}s=u!C2DHq|0Z2xJ@$hv)~o0a2JhB)V9kTAAh7T$th>p;eV~Ru@NUOtyt4 zpdCya!S+fB$q}~g;e$|IG@%mJhRoYLm?Tuen<;cWFGeR( zTbWDXEp7k|x~Cb535$QBh4x{cF1m#xDWS~wa6U~LfFZRU&QO>`VCaz#Vf+Y(x1Hj1 zt|gByAw8Y}CBGP`ONhxb+lkchdBAxhn{l zp<4#Ez`P5)Mv#?hbOyONhZR?Vnlh4?z-49wEg{e0C|-dH?_r>)fcCZFs1oUkQNu*( z$$}a_cV46FK$zQ&3#{3ME&o4rZv$mnRh^5@wa>28yG~bk@2W1KK!jD*1r!a+p%Fzz zT~!oVbUJzYZ`z$LzxVA4svdBk{cwuEG~ zK5o+Fnmb5F-bjUb4asxlwO+mHXB6M}t+n^5bE?i?1NY|5sc9OAC1la3PG`VSTys-g%C5 zoQ?)jSPs`AY7$-d+h}8Q?XkSucoA$w9@vdbJlt6z`_(W_TDvWeIrEdSynb2qizz*X(!|7q~5Y3}OukxRBGs$afYcI=SA@N^K;P?B|DT zX3IEMLNRTX^vYZt-yJ}g-IA}aqO!Vfp{@QMrmZN<=+W>LOU%vXg}z;YMZ`ULINE#v zYptyQeM5=&-}Z*a{;P@`mOlP=tf#F+afWH@%JQq7Pb95%9Ll>L=yc;HNjidEMo(L*3S>+wt)fClG)}M4-}kEY!mtBE}L488BSaY zn(rS9s20QXrbvAR=C7G7rH+YRJ4(Gk;j}d(N*`F@wAI&4OHA<4go2dPX8=+mA`uV9 zcjNsP7$`Vp~6by2oY$-}Re#Gab92@jB7HLvB{j+Msc6E8KE z)7A%0#?$hCi{a`Ix2!~^BSsGlxNsHmfjGVcLJu8Rah;TPNi zHI#SYJtB+7pIR3|FT?@E@;M-xUUqe{j5f{@3<}<8D#+1@+*{o$bq26^P2&b_2qvI6 zm5E5bei3Y6iJrxvnkr67@D7!d8DYgf(i5#dRG)PjFd*D zffzEBfo0$aXiBs2=w{~Jxv*=NyA;?+Amy-O)+^A#X9+*aB%7kS4$-=`o2@9M!zG9a zqxZf*lsd7Lj~5Mm%FAE^Ds6*2-}oTs5_%uwia_Xr-?tZfL}}#BQoIZoi1dRfNDOH8 zD&%=@&@dLysGJ#P=KS^hXkh*BZO}Qw6!R}OobY#+M#j|WVeuOPG*^EKOtB56#bH@`vxbjE4&f$jCL8?wJ+&Qyqftni;3knqq_nLXO*2{q<5BqT zRyYV;IjGOWa+rMMA45L0o<}f>>r={f97at6VU%n)k9Xldox zs~s(TK~DH?L|Nj3EkCS)~q~z?Gt3HajA84*K%O9XLZbBUtAs=u_)V4 z<(2sG zB37Gs9}wey4L+}>x4^F!rma-s3xMPCdJ*VOW)9`ZbWuMuW*HUQXqJ4syMjXqR3`2l zmqqgJbH!fu?SNZ)lPs*zGjEgh zvtR$X%>2S9P@6vF7}^_L=_k%z_zu=T++j8N&iqJv%B(WVJ70^QC}Sm#B6;QwQ*uDd zl1*NVOuyDT`y^EOFE2%1a6l8haJ&87&>Jh_GSj^QR~Iz&O=xm})>zmwg(EkPF?Qmo zw46JQq)+U`i8~Cr^{p1_jm+1iiS0YCIHT)2AEG$SnD|Kk{BUW(jf+A4?dMV3C`F|x@Z(A8;s0AMe?RW( zH@kMoN^;UXf=@y^^N!bGjp>J5IV0++>fnk`M-YGGr zt-cvA)Ri7SLy}{UPANWBpq#e0pGd=S0O>Ln3LTNu!Hh|@O~)3#MDaH|?RAxO7d?7` zMzWB}T^q_vuNVV{-Jvo*Qv$1x`v}o7qgz+@Ho!G{u_f+U{iHO^pVh?F%V>*Hn2)JJ zcXkr!=l&`lSD^T=0WBMm{))ATfNAS8jnSEp<9QyfR{mm0Dr;v(Q?7P~oY|;Mt=3)& zo}R>9X8*Og#|_u|$inDcz-15d*e}-T7#JG*v_K62%+ok+ZHqZHf8|`HkHiSf45qE+ zw$P@-Hf;k~6l1{lR60je`6G69FE*gj!-ufh`~|2yum{BrnlqTlXxMb4Kq!t!VWNiC zElL@O3V8WJz&yB5Ek@4wLEgQgCXE>kiX0HJi84O5KTIjo2xT9%B8x#NC?rs{B1Mdt z&@S@a)Fgbv1dMa7W+FizYG;{@@k)(m#L=FioVbLi7^0p4ACw|Cp0H~t!4}j)T zTtCEu+c*=+WjRG*hvvYFL*A^QBemvG>PGPXnu9|){eV=lFr`$i)k@@e6G$w70%w0f zvYKNl@>6IC%5(B%XfrMg3(43M7P|F3*1_Ifsm>9O@gArIOM;`Vj15LGTk%it?DBBl zKOZBNtl=maw6Z4pd;C?l&LV56OL%`g2@}*mi-#9b;pk_oXSX+&^TCt7k=8@)y0xdR z2ELI4GT;^hX4HU(Lx$|#@VH?Wc}&W>zGj_jz{{H$jDs(R$K$|qc|Z>%PO`lSZXf{* z*=CQ6zk7l)Fe;9*tSmmjb0pa0O)zFl7a`7Eq7x1nbvmxcFNgl}^Yz)+HH*Z{BPzEd zE`5<%C}t~%7L^{PbsLz0 z8R6wN93V#XJTZjS*g%8B)+QB<^n|cjXjg&?IX#kC@qop_@PK>xCZ-iuq*$l<{J@@G zG%tWgs~C#-9rEPzZm2-nY}(u_uHBGiwK9fojWA4D+nt9r-U^(*FitR#KtGGzzWP3| zK`W14h=z}Fg>%U~#E@-IRBCS14BsQQJ}l48-XaUK#_OK`MJ|^=po~RM-ixKAT4c;4 zYH18jM z!Q!?bi1%bbcW{n}Kxb$FVJUUjoEJ|%@TU&UJNfX-<*w_$md?1h{Rk@2`Pdc$4Lx<4 z9gkldi#@pu-(63Pp87d#ct5u_j>;!=KXa-0S^WBfei224a;i6s=vQLL7zKsJ{f!t6 z)~L8EG~sTm^Qe|Mxk$kyfFdd)bwtrRP`G3lgrTtXfmqF@SY`y4B_@*#>2yfVhxL1q zRAWEre13e{`m`6t-FTsF8VCrtca> zbNpXTrWuwemaiVDG+FzWH^fqXdQnzzNLXI>-&u(4>hJG}&V1rZ6rdlD%JjF+7hc&R zKl=SG@!tE-sLk^x)RkjrEX&A+PU`;;hEAoc#0;YkaNuJga1P~{S{Yj^CCh8S2VY?(6t%upbpY=aDgu_!>w2OR)^e1vca;9p|fY zP)0I6XBvBwcv~Hgg_k31p{xZ;ot|z{oa}Xb<(t^WtG^14D_K-*^u1B2^aD03-C_PV zd3NXYEZ!-Yl<%Q5?Y|PM!B>OWrKNOKtW&+i*2JovgWqbWL^k@N$ADQ0$a^s_Rd#FPQ1=b4`hjl5+7nD*<0#VaG3#s`9 z6HHYJ+;XeZ;`uV;Qb&#hq~ySZ>t!{d<+)*xay{Q8T{BZ>8h&O5OEKMguQC$8lHEJk7u ztw5Nz1~IFTa2gMC+XT!4{lZ7nqm#vArKgks3-^NrAwmev!lIGPEkklcC9D`IHpUEm z$1stbgLY$N-9u1shOq^l4%+qI$wWj_eZa;`z|b-XRz>ZK@g+ziDbHpo+vAe0RJlD= zGHG-hzT_9ZgGyl(Y}To_p<8TJ!3>%eofsfVAIV@$7ocQWY96Fx1(P!mb(Apd>acQQ z+FDfCJTRaP@N{iB)M=$z588s-9Mf&C7wB*yzD4zc%?vHev7F+V6uhJ;NbZD4<2w56 z0j8R2T7gflUih-GIdpQm07oq+(cQ37L_~tYi%}S)_?n96q{AtXFxJZQ zFc34wTn1rMht2>Fi2(RO+eNx@C?!UW4Nmx?`S57oI`~7yHj#s5G7g{s4$gXn5);4^ zuK?RZsgOY<_s@;fNZa1bINDb#XoNa~cJyr#e8+Gbd{a49iqjfL`(G`iZ?%&ayI1m& zsg_9J!!`?+-!X=|wkmE`jXbyP^B0hg41@I@GFG}B!XF^nG`a;6^RUDDJQ;Bg^#ix- znQS84D)a6$SZa7?-o)8(8GEkPQ0TJJ_ zz~>eA?3CfvzYoixrBArz;vcFwAb9>>dQ@V4;5v+o@IL4(zl)Q!JD)=_L?|l`HbRZe zEWR0|;_naeDoJBr?&G_QKD*^R{OUeaN&ff~Sfl(%n#``17LzgTtES&VBKLh!JRVLZl8T3Rb=16s91OwY20Y&cV*{gOn-MMl52kf08O6gAFP8@8q$W0t{BVXnX;i-Q2!#7S8FFhSXMW>+lhjUX z?Z}}s0?Si~%3h!4*E#Y5dv5@vju9)Z3cu_H{g9+vEm;qA*q zD%Y45QOx(?(-Y8@A(6U|RUih+xO!YHHUL4fD)U5$hPDB@j>{_rJvTn-5z1K+?FE=1 zD~V+xuGuBzexVMg;HJ;2(hPvy7FKWCHWwXj8KH;eBL_5h?eU9%HZxxYDkR(%cG`|8 z*AprVH!I?$oPyDjx?n333+mp-d4qbb;sqxKh!0HAa zw#bmdJzyl~wB&JAGW(#nCBrzt)69kUqKm05&=pz|+MW+6^VbvXa77IIWjE_1 z^n54;Wn8hieE}sX@RNGR_Tct7sbJcA*!^`pERV$|%FYwxe!Mhi>cgv&?E?yU^q^;} zn18altDlju#l;XvcjuUE|JE}e@!Z=9&jkXGVO1M5W0W2ofcEQ$C%tO(I2#SS(PM)< zo=k4t;I-Kq7~AaceaNGwjT{c7eiX+Th|}&r+?Bd>b{aGBO`p5!(A$yypRnmy?^z1- z*wt^uPP31TEdRcY+RQ|jMfm~z^wHNOOg7aEu6J$*J0tMy4Jr1h&we7RP+W_1ufQQ; zMCUN|@9<_UPL|d&r7`K$d2%%UYuC{GwnXF4{TrEh@YF7?-n@Nv{?-o)>#!OgZ9Vav zMA|#OJQR!((apbZ%F8J!ZDS_n*bfiu;x^P^3h-TD$J{vmJW#JkB^#dDL`VT^ZU&iyjWY*3>+P??D*a4RAzd+>`qKm*K>1 z#hHm)WeUi8M%nCIzS^V>+@R$<^W;O3I+8&mBNInU*RL#uX{*$Czafp;?q)Zmh-|N$ zI?;MVd~u4|>H9eI$F#Uv2D4S3O~z@|`YB`0uU|Gt9VgjeUlyCU{FR(rd&-=+GutBD zTXV0&i0^tQ7eaA0hDWR;3(b`R*!_nIFl~JW^Crh0!Iwo2Od~t5#Enebufk~JTgE9# zv?kkG$D2{+5szg1!K@qJZ9OJSng(>^OJ8eL+v@0VN97zJGl`R@oUi8NXG`VyrO{G! zOXPdO6Y^=#a$r$kA7O{%Zz2~6UxX3~FwrG?Q}x`?1&5F>&l&n-u}E$SElU%PC$lr| z2OhX)E_$4|8lH!0yke}JKrf-M88*BduZd2};2kTmS&u~3j@qd-|G6~^$7Ll7Cn=IPYB0>Q%Qq-2fQGOZA(xni7Z>Y-ZkS7i)wqjy8 zZ~<Z$vc;8jt$3cxM5 z7@)OyScYuMo^Q$-La=Dzoq$7}p%g@=Q0AE?L|h*4H)=AW6uB8AKFJX*#KHNtm>egnbO zuf-eGE>$9CLm3FpFG? z8<3{$ikziG*WH_KE(ucJ9MZ|hcJgfZ3sS|?HW+|? zudpDfx=@xWg)IHx0;;p?wIPBMBBHlJmb(hGEwJ5zOhaNGaRVLibQDTOlvvg`A80%y zA4Cl*f-(AOLcSr}ba%Dbwh*_T^t8_oOJfb9poz7tfXo&`($nj}L(KIj3HAi{A}<{l zIkQ&g^d3m;IWO!=V`f&}Ez9-vk+G0H(aQDiTc5ZXwW)%Q(VI#+4&zkb+*czW z4iNV%-^*l)v5<&P*Jj1B-elQX6@N(B!lAp)j_^8TBnn4w&{~Y$)@K|DlX|lSx&#Aq z&-fAK@_roQUwt+e&2~uimOHH2r%WtQq3|ty0I%O5L7XFwYxh5wrYd+zWEzj2pf<1; z1IzM9IRSQlc2rg|ZA}?)0W9tq&M5205jaqEz*V$xY%rf+Z1Xyhs$6b1Ze zOmrwP6eLbtWAfv7Td&0KFQ%<=_Scu-D}h(9B%|MQwUt9dQX2nosuwaYcGUfQv5pQ# z>;rb52u(RXGZb-%ed-##Cw49lOzL&N;eMO$olt0V0ynvrq;F(yXaJ8 zDk4s0+!sFCa{{+F=@&<^s&;-@MXlcc3eR^O|NCW`!lZMabo#@s{_v`mukCUcrj;7mp<0ZjI=FT#v;Paay39APoRqVjC2KhFmHG3rk- zf-&3t9%JN?@{QOYY~&oFfD5g5fNYYyOsY3Lx85c(npfEix=nzdg9}7`+b*bW?ciFS zN=Ly^Gr%=%{=?k;tCvSf)~)o(^cCCojo;FY)~3s;b_^NQ0l)eBfLGPMd5 zsi#s8-B7x!@ZoO`KZ(x4j1{i7e|ip3ctAp73RSxuy)|jW!Q~yQU8NB#!>+>*myxri zI*Aw2Gx)}VMu5q}(#>>crUfTHw*tp)eqE zg8KOmKDlBoozD0V62gY284RVq;5Qgl0E*cJF8cv26<&jznPuKUSbTkNM)YOIcSRJ< z3kBsIt|wTsfb9Bb--q_a$Pp$U+Y6C?b!trZOnb%QoUcY7ghsPBV%d&IXn)iDBjqbF zZT;FJHg!%)w$sPII`CuCt87i&J+-q35XFdQn5+=or4%i}&NR-ov^dGe+;;aFoW{l{ z?@e|dM#t45k(-AxZsi8SH;Dx_Tw0uBrmfz2+K*&yJL9N~VC(Tn!NujnU?0=gp%Z0? z_dbEpY1-OMtaDzOwaDYddn)D5I874XvbEh=xLhc8mTq$BNs)R#AfkIKKJBv?$3UyA zL{IgGOUI+DKI~?`dAwxFpGhAn-=GXzezod!hKXi+Dh^}3m^TSC(yoi~RnQF59su;* z>xQeqwD^b(sD~Jf7h}u`eiHYs!;xUOHA#OLweUWi)_u>%#Mo0?o!}(0%zS`7AmH)0 zrBV8vAK6Kx7$q>E8z=&HQ7V@Ihxfw3=Q{3+%me=kHU#XiA3QASu`8i%cUB}1ql>6N zGr4)%%9dM{v2$uosWVr8W}BmwaU>T$eIQjLw9zVJK>W?rh%x5%TZDEqNvd9+75ViF zkMH>Tqwc|xghww)>WzjOG6tT*ABq+nOnnH$eok9Cat^XBl3nHZhX%TmiFCg3QG2{{H3^kSemH+2EjF{MM4HcZ(Q2adD+-x%j$tWYJ3>lnGY8f{lFqoI_RzG5(6dZP2;X)s`zYMBX zcx(dSj$zt5$UMzjG=Q^hBq*z|ZA0`Oa=;ykBJ&77w&TuNR{xo?8Ef&;q*)W~#|fF{ zv=vdto43c4zyP349A=E*{sM}6Zk*auYDT4wN-C$Ix)Y-qYL~VV>wh$`5VV7aTH5Xj z9tX&1ku_GnOA7)Gku&kL4ic1u!Pm_*-Gvwx5JeMPQH4>9Bu(hG!xO|{mWCbXv3lP{PtBBUQlo%x@YT;TOIO)NS+uqZDk!648m}cM- zoJEe8+(tNy2|}G45MmcF4VIara0JA**7~E5++@b*&cxE20m%^fA*zj(=4F!=KUNF?N>>BB;x_z|_0vzAB$ppZasSlG;2 zGr}R*6w`J;gI{ZU_p&^O178ehDZL7&3wdnMMF>E~Q4#T{%&1pI;X^+_jEq}a`odMs z(iFzt8Fd7R~Kz#>}#STIM2H{QMJUPf#3q zCZf**08b&w7w)iQd;NE+=nXZ07#5$2k9gQP^gxE(rV?#xP5rCEktqwn={zAbP`UEXo z5Q_`TzlKREbON7Qa%LGyEU?}UnJ57=7|f$mszE`p0=U$k4^SB3LIXvqFCz)7f{*e- zw`$?TL@iUB%p)06=mi<@lhko#nV)0{Yx;nrY?(LaiIQ?Oz!XrL)I3TM zcaA~uv@a=xIoTJjnTI|8vHtS*2(~83K*dHK_&4^x@{o{7PXcdNQR0D?6 z7>4RfxYGXe;AfN8MAXOgDxh}SL^9dt3b8vpXP`qU7e%QcTr=X7JVSA&nYKA(q|P`h|Kli`r86Sz*EgZgFr(yz@8 zVZnW7r-jspzJar{ZN9lhxf z7WyTkC337Z9J+2gr_Zu9#DDaYpCpS$QtLgQ&%>oF)?a(vC-Uley0X{_C-hIsAYbfY z&d|{hE+E3q*uFk~O>Et-9=0r|+drJ9Kl}9TLo&1@^5S<#@#@Bn=Prg56WCEv2edkI z(^FVAg=v>xZPTfflVL^)k6uu1xY^9>X5l+FaXBikz?2J4qW{A#jY-}fyVGVy^}=I+@M8|&btPx6nx z4MX2>h+mew)2~X9N-s!9@5*!VO`LAD;@^(OnMS`{gzI{?U}%Qd=Im2gWao7~k78SH z;UP31(fP>zH)r$Qzg`l)a_9Nzm0UB-GYpr*uUv-W00-q-(Wh|cjRMTRbCJk{ynO2C z$HhL2Lho?1LR^Sc8G%B#v=r24>1ahsnpe2yYus-@5W{5PADh z3ieW5;$ksYnu-46Wz$2XU3yP+g5wy`b1_uRWE$C}6hzOg{jy{B0Q1KEZB-7=GEaSA zR5%y{5p(k8Ert0EQTj$lwk3RRPUXFDWhEJJ{3?#YJ%caKDdzh214JV1fGTbY*3*7k zIrORp#=@JccsF|~XQN?}{s)a2pO2!rzAchFBkT3oJpshn090`R7Z%?NXLoa~d(aCA zQE;NrN9@9Y3=<7aR&W^3X9)pj8UYrK6Itd;te?*K6sL-G5kzI@S}uIMnZD^l3`dFq zR+Q#ZB)1APc{whGb~!cNdn;QUd<_P$W(Jl5bkGFDQ7s>hoMO{}IRr3?bC;WJV+_}{ zV^|@X66yH>*t|EY+5S->wU*M|AAdlM$%pX@(-f2{i#~=*Jx?gY$$I&6Symb_6+f;y zVE$Tms>r-&=B+^rBU3PSF#by65(s3c9ayOFb&e#;3V(b!BNL3~kg(jnj|o603kZdm z^+@6At-T+%C_oB4L@#)qYfb@T6j^sE8WEqP(4wdz`U`*{ot^|J#sSbsL;2_fc|KK< zpVWe|=5sJz!fb<{LGUG+ghS*ngG8a#kYzH1O92>E#m^eII^Xx*R){V|Qg1>8H_NCS zf%KrbRG3JZ2d27=eH0vfjHqMJOR*751$m{@=nWwrDF<-DurP9KnUW~^y@OoLWz8)C zS2Q<+!LT5rHt%&uxZ!l&-O<*KLMMOiNTJ;`&!;N8R6wz{U3m~fgk&AmM(?H|Fd%xD zWMWYO7(i*@JL0tQk&~md2dv<8Zm`EjSqf z)(SB`&{7m;3ISbao|gBPmZR##E&_<1o!d|={CzY9sW~plKioV%aKQ3kDKiJ!rh@h-ZwTSl12)kraC1`YsTBb|O`n(9vXKXNTd{wJ z=>xdgzBAV9H>YKBI$mZ!rq%BbC8bf!9~a(Hs(tk#Tuo}LyKqMga)US8>?~tpMp?rr zA;Xu+=+Oh-I(AA_fnY#=#Rkmuzk?aiPqsy7=eacT6uu9z>$l|AN7rnG8-4Iv2bLyQ zA3ZF}D1K&Jln;9JgM91V7X~owU(ZS>cOV--__d&m?D#GxjlaTBc;_w=Ok3mZi7l22 zL`riXjeDwgz{x z$7ZTa$P4o3JvFPKpp${=Bk^?@L@Z(DNKr)yqZgwjB5RpMt6zHr)zM3-r|e0W$VRrvHXLoO}RhD3QXI$`FR ziHMcP!5+XBZ}1f!r)egkTk9DMDdP)Ht{?kzy2<8mx6PFiAh3sW@y-@uQLoKk&fx90tzSXd1I+SBI}H zSv&z5-pGLwHCgb!gFIY78o{z4K&QQP7EcrzE?;KrB6eWX2M_qr4R}tN*WQqyf7^_7 z`sKBqhJ%wL^3p`+2o^tG<`ctY6$}(&D8Mbp&x{9&q18){A!L~&C7>_+pbW{-eXUAG1ypm zQ@`RZ)37KyaMwD5*HEz$)D`k%*Hdp|E;Mb+&{%^m%0%%)A~wYmlvk+tg=Yw6@-A14 z_w4wvfsPN$k$H9$;EU62?&q+;CC+2vF^`{Xf48+!gmpGq;F~CF)Va=h?Y<#b?S9(s zd>*b9tT*hA4vPE=wP@s$MUB6X)4L7-<`KMBcUqI4hoy*rLcu<9k?)6uda;ET9R@*G za5KS~1Eha+=Zi-`G)`awbIDe$H35OQg__S|4p4mpU7FiAJK_+P zqh^a_YbzuYe=Lp<{rcnpNu$4k9RQ;NkGKKy3!L$T~r zba@wuIO>I&R0nh6jX%322Ts7&4;nz&xin1Ks^kKrpG4>KXc&D_T&8~mk7sb|jk~SM z+|9!2qHUHae;W@FC>;`9bI;042A9jWccP7t8}H@M;HrmLc$uCbq& zgvrWF&VgHMMG@NGgR2~gk)*Z-PY%sK<{XY%?BfQ2Rz696Za5LlTfV~ z_AlZKWGtr2DM-Z^dj}_f;elGa2)y($VD|;Q>28}yBpbk@^A1z0Rd^ER`eYc>8YF>n z3~uVr&!s4^2&f$#^{})L06GO8o8SD9#&I?yocB1%iX<60g^ku>hkhiEUChz`e@EI$5BIom=#&S9S&p#uR5Kr6#JjUsm0 zFtzy+u?{BL;OFI#7K#*%=M8Rvm{_SC0INXJ!qVR~%p{6xvD;dO)0unmu#Ak!;R*(& zct4yjj3xZo6R#w;$ka+yTpdEBez4jJAwMJxW@gZM`Q;rnzpRWaVNN>@j=&o^&4G37 zd4u!fY?(I+c3b`W3pRPNOpffK;Saej5^;s4vzeEcW?-e(w05Ng^pjv2(aiGWH1C?;m<}qTSG`&pxBT%`=G1xSMtNRo64#UosjR=ZHpiWPR zUjskE=uPW+0*cvklurc0p?YHY)o5?&`*1nNdWk?vo_GTxDwl_F<-YNu^wuKT1DLjk z5Ii9zVDn*-mT#7xkOT6BdK6KG+3k8_+-iQXv^-2y`&d^onqdBb%u}#2CR<6A3xU*VG^Zddm~x3PY&VQ zN4omJuWwzHnAv5PT+Z(U7?RqPi)aIcUnn?JVlufPnx=r(QzM&w!RKWW%D`7`()w}3g~8!h zo}cLIlM<~=AVW-J>Mb~~&n&IXeA_FcBO^~(~mr!827}Mxc>NSu+j9$H6CR>E;u7|{ef6u zFL6YT_`t#UAC$0|1-j(h?LG%HJ@55x5BoZC{ZLLP9K|zdm<81@;oYsCKq_H42nR9Zu#SY>qqnGe508e2D=f4+ zQC)X;voSGI-;B1Qz~4_Yyxh2?BBRKyI504D1WliY?3Y-uGS)Z99fI8NpVNY*kJaURV_XRCnS-XuSY5sC*frm7wh zqZSN*XX`SD;p&%bXA5iemf?@OQPy*}3 zVebHlf11!QhWer#h`Q6H-2q)kbGIKN%I}xR68L?`li+TWIe+kcHkeY?r3lwFEx3s)PZu3@&K@bp|FJZYSPOc9( zklsF-j$_#>=Jy^^!Q!-j28L}{M^)mkL$c}p&Ri+Vr0e#QOFj*zMytq>ieOHU7x|}?kB|_ z|6RPJAmO4pi|CWrZ?n2>zeM}M-Hp+hiTsGu2ax8pWj13hPzH<0p_YKFD>aqh&W|BOTq4PmnNv7Ej^JjBg- z#*`JXKd=oLdp~IXP|^K=qS=tUJKl(H^oP#p1YeLyvpEtYO*TABbQB`>CCIZ(U!tsM z9}bJ3G3+3xBnLX5adX`kIPZoRHOW76~hmN_)yL{i_iro9|pNM_3Qc0a}I~2_E9#ejP zV}J&j{4j|>dPywDe^`p^<7jE3^O<1MaHEUW8(=?m%^7m*pF#i@-hP~32c1Brw zikF-B11^Q$c{Fh7h}^Fn^d@-1;Qj8`fQlQkIA#Lm{hG&6o?t;qyVxCUT;^7oPWmiX z)M__Dicg}9>c>#4kF8Hp8wPW{p~h9_v%X;DWI7r(j%2UK8S3v0CkGl8?B-z|BhQI0 zzJ6G^epn+vP7WtV$LguODLvpXDNSs;%IZMK+v?!x{~Us1FC9&#_R=IBI=LL<^NS51 zYu>Cx*clpMLq8w=jCw!+Wc_|YP{YbHI*xvT#t((`MFUM1(d4on*}i1a9$GZJ%b-rh zRPkeBoyVeUxqM0TIS# zIWyk^_`k@v54Z z7>C20*LI?kQ4bt6AuM6}o+PwjT!$gL4PJRn`q#dTMxIiBD#c*}xG9cWJigG4f=kv_N@{hQtPtSs~uYiiz zkdW*^jkpEtQ8*;*D_!p-5!yh7Tr)=WpCU7K)h?O-GICB%vKv;8x?fHn#4V^O!PB7j zSjjK@F!%++8s6+_Df*qM+0NdUt;Y#bUl)#m4w^Q?=|fW@Y+)J1Hu=Z*7Z|9(n_o zWAG)tYyq_P;!}{0G?6!D5h7m5mw|8$++b)=VAM^tiWr+xD+0Qk z#>tJ}2J2@GCGK8yruETn4jGrZbE8YqmL@unLDIhOM5zVR%I9`0EDir0dOfT*P1g6| z*0KM02fpbEd@Kp**d-Vl*B}Kl*%z+5-|hR#v-tktXJ28By$PFbkQ)@JsbczG-QuKd z6&2RVF-%*{(QhO(TzB9hVLN&X+%N^EBWM84)2nX3LHJ*&Z8TW3)$Noht;SzxEMmb zVq3f*W~-5oLD6TUTz!vW=tGl_ot<7pJMYHB znr-~aFIP*qQXW0QrLr_z2MS)Ptzp_)k()wMP;746Xf_hO#$gWBEe4T?F{>K0f^YNA z4xN;Yt$ot|UhM54)Ho;YuU6pU{M4k#Q&V4%`_snI>cj8?7ys7OWc5eTw(pbu@-q;L z+*J0$>n}kJ(tE?gT<>rnI*r~=H{*55QvYINW*-mKV#rmodZS(^ysQX?hvg-jv*?tT z;SJqt;^4Umi-pO{T$a5)=u5B;zeX2sJcfU`L?#8OLnxmXF<63NdQkXzQ6VsOMr9ro z9w28{Oc?R5{7#OV8)_FYph64N?!C#yU8ootb76cK`B@Z_u5o!V3~C(Nh(PXzLYoNo z4nQrz$kkV*{FK7?oTSF46Nye*pY>Nl zP6VJ>_kKB7A%5@C@3HgxNEYpcOmW&O3n+geArLrv(z;`phS`S2G(@MQ3Q4apxt9Ed zWPK`#i}73kVTng(JP?-#u7UTwM}jmnurE`IqAn-fMI8|MdojR*2qsW{0Zb8fAfPPz zfK^kCE%&~hj4vZQlZX%@_x`xy;x=Utk9Z;@NLm$(JQ73IjR=Fr2`TwB6f-;ucJ+4$ zND@>MRz2B=DzfqpsUtz3fLIt%;0$%_a!N6(?rs5XHXY!l6DTN5cM90x7rQYDB96<> zCP*Pho8SRk^qMgV1b~|2@uI+(EDlO1G#Guc{pht1me1AdXHhN2aNu1-QRbS0Q)HUr zo`h#{(gZ%+*xa#r6D()iz@a2W@gv-yd85}O!NQiLGj{{0ibBHCQUSFTm-n)j^_s5Y z7|tph;*$v${tFZfiz^(##DvVxs;5a=v?DMwxsUpO0&7MYnpe@^E~#WIZ-+hU4N++SrO-=c9%SK%RdJohn{m zxcI~cvK@CzLismnz&~}T^%jHggw=@L8*oY=pLt9(7LghVS9e;^>z5LTYU%{@S&eRq zEOuMdWt`D%$jSM6o)&X*g{c+Ade|qD@%7jJ_?g~mLcI9D3H@t!VKR(qYqEAWy*$MA zU9raZ<221`Y*E;T-JU#TGg&lR8=x&^W~4yTXbo8$WcoECkLw1ixs$Yf5Huf8(vvCl z1YlNIw%Ft=uQLtI0oDDx>y+6azhnAHedMgj&TgC3k^QmGflPwwM>KIt-O_j79H(w= zi<`Ld?WQq?R2QEcqS`_2yEr}(+PNMHqlO-vn2m9PaiaS^nsUR<0o`DmO{?EqTq)+H zll97lG85HQYTw2xLiTa=@~?KQmMlV)%ChU$cF9=f?1|=42l#ac2k*OXRIRRw{P|~$ zO%Cq#@}ht8=U1UixgOo@LIj%0J>e1$igXD-pEpYjlJ!-_(E(Ji!8Vk*4F%KIrji+@ zD^$>2wrUWF6ew83&(Tt(7QE@x6&kksO~_~VrX-$!g-PG>w7)$|{_`0!mOdo)<@8~R z{KZG0z{P`o$1lW=`Wh5>XbPLxKX$(VcDZs!8A0oE-U(SeQk2!MseSSz4gL z%~Dr*+5$y~-xJpdhMw zi!tFcl*$`%FoHY*AqUz*mj(+CS|-&zz~-jLDca)!AYg0t}@Mi>D0F}gktOcM6Va7Kf2lkCROZxMbO(jP|G zI^tJ2iWEF;>z}QUP0HAeQrU#lN+8%TM{)z^KyTa}y@QYXJA)SP_L~=KDAPLZ2Cu2& zWAs*#Q}v8lTy5yZkGHnDHRyopgh6hbGE!{n7`_MxNvY z>T`;ra~!YK9wEF6m)Mk70J1wg;n45K)Cd!xu0;CKa@Y2EsJf0u$xUTk%>guPF zmg`Gyh8T$jO=b_9j=V`2xi?tp#E^oJ&TVK@)%!xl_@{1imB=tED%OjyViVa1kRH|; z09oez5`P>1MAU#gARrOwnM2-bzd756NS?_%K*a=$cXfGyVP>>~GH~MtCY@>4UL}@O z5`R$u@+m~rjh^;L=@zw%|KoA(0=(~t^FtD^LRC1wMIk)`R*o2JAOnm^mVD$$KP9u# z{gUmGp*i$W*cEmDc26o80+z-wzFk{tS-SAix;)m5?8j(H4m+I2&JG6(I1*QJY#w%) z34c2!PBE!`5;|_+dyp8C;R856F<4leoWp%XhvfmeDU~Vj*Yja)SrW!Pg6_cB(q@MuB4cf=-Kvs{#jwIEa+V`Ub+mgSF6Yk~&dP;=Ig6 zeivW;S|x5jpwQZA6%m@G3JhZP0ZndvWI5HZV13}pHyC-xcZL{Q{_dQWlrNbc8Nb$K zP+T>?RY z7U7}S#FeU+Fib+d9P$c0EK7G*Y%=p9)XW1A|2=3Qc)<7w@geM#Q(ik%S-Et9KK-C{ zac%DGo2}HRaf$obUEI8pwd2NA-+2{xTSe+TB8e(v0`A@Ry3ikbSn1! zn_j&wP@^nw6W5AGbws%`9F53+YG$aiNP!yG|uUc1`Oj)u2 zh*FzI)_|-B-iZea{7@~+kS&9J19^+F*bIa=ky^$u_~qHhS_vFL3DmeoW8u+?g7V%6 zR`Cj;BTid#AyPO05Zd`UhJFd7p>V4iPZW7lZ99g0@Iet*uqa^M#7ZY=twduR zrbW7hjO=lJ?GS!CPy@WyWY)9WRvH{g0jLo6tikredfDlj`fCZ#VUSE>2kHgk_%4ad~BG#;pIfcT$fl8euroQFmLpB z^hR3rp`4QrBE=L>*6}=6D;7K| zBcXeR12(E9abCs20e3$*qaVV*T6n&q_Tr@vnX&Zy0?)p5NlKyUGl23##c+|MQ9?7D zqmRQ0;KR~FJVZ+!L>y7#0|616>HB41Ut@xr)&qcJ1CN2KV`C8#(clk0(A)O~&u-!o zbB3j$8^eMCUt%_oNc&Rmf#8$t5$=P?SyyP0L@w^Gs6_ypYD=wf6vAHHiGN)I3bR;W zM}R>O%BhBfzTlfnn4=C2@rYTcbqszJaAeXSP;?v#M1nCe64q4NmSTw|uMp$ZHNaMcMD4-OcPcBH}4L8Q#2@^TJrV#rh$cchEt6znKs~3=mXQ3!vQ75vA%pT0T(MM^6c=4k3+l)i#vjGq6Nj~2~2oOTiv{r)oS?Yk`L(p zun1NxFI1S&9Dd-V2}rRGehIFsqF#>9p_$+idK1JGBs2h!IqR5$MLzNKnZrPTm4p41 zlda%Ml>d2v*5}29duH4PGQ0TlQIU5sLvQvn)1&3YoOqSg%qwxw@Q9hJE$ncqxg|2P z5Md+bQ(|}@fzDZTv*$+7cCjp0=H$eW=W^=9|ja#O;-cw)&f~d|9uvvi$uy@u$ar{TIdhjhtztH*+H=TKqN40&5sgF`38ThWd1G zB!4+~#xnZ9zJTNNvHAFQe`w^wCs9dmz_BrOl{-(#!jWwtx&6`9UUnKw&cCdVq^@auy@jD8R&wY;$`k}G0ZT8h-NhtN?B0@=~2 zskl60tLZo5`s#i^2bh_8pA&by5>1rOsn_;Ag$q0GKg)(Ku}>pg+{ob`%lOEoj7~EZ z?4#kkB_i+n?lprXDl=;f(S1_Fv9dAU;+8W`0zCy>UO9(pAa^}Zp@pBBmdf(gk=Q>* z#{L$szD~?Sl55Bt->k#uB>g6kj9>0|iTfx0&39L%zG6i0!|v))&$=k1y=M*O^3&*4 zU%fNb3H$IvPAozSk!B9|1VW!}R+|BIJhJBFlTJ@aH__^Z9?LVW=SDiA6nio4UdIdhI$Bi5`p3XRk*C2W&E4c^|Fu>A9e2o zCs}db_nv$E_UxV60qzd7Fsu;N3|+L-6n9WFgdi+ zh1(Ro*$H<>zi~{rT9Y-w9Iw&yNcTeuHm6B!iX^5-grrWGrOkmQ$*Z~+izUASBP(@%U?}NBK(J#@?oLVzyK!MvSq}V@S+|(^ z7<>41ZC=i8PG(4bPwEP-nyIvS{b@USg>()>51- zgeix7rJYM5?S}=)V*Kh`+yx@v00!riZo|8SE2emKAu45`?dedFn>;y0^^7|hCkTL| zs1ZCDNjr3}>ON}od4ufr0cCGch$dklV(-aRpq45tDma^pF@n90M>IVQf*S5GnTfnVLJwGJ8Z7`^s$DZrl|ZYOr*1PEt5BFJsU1 z+8mB^cm+9(=h1AfDWnh7CKy_UE0%5a-g_WDEs08ePO&~@?@Qj6A1#MbNioVXFo~_c zZZhSQkXW`GjO)?ay56S!!enCu-^+E*d5JX-V7A^*1;lI>cuy7jX~)7nR+E1)fVsLb zy9>-~2G64~sD{1@@G(xjs)nf)0>AYZAomV%Z1E74^!~<_O>vH4vUsier5i zp$F{xNn3RZn>??hW7=U`B#(aIQ@MZSU3q@p8b@cOSJFoYHfzrAU4427 zQJc|ZH7lA!ziy3&@s&c%qk9SFeW@g*nakK*=-i1Jt5#Pmy#AoG>yK7EVzaz>sk-(E zq%GB5$B$ieZZyATz!q^c&9tRA;bgWRem{Ae;EBU|s^G zQcAg0>AF}&RF~oTMG{Q1?MPZ8900m_Nn8h<9Gj&zgy;#imGqepRcz$mcL!T|1f$`? zYt)5?Cx6t^+5h^ZVSM%+J3jWOU@l}9V(1B1xF05$GE#%z%ib|(!ww162Y!Wl)Vrwf zzf04V9{NCjy?rmO@LY2bf2+vCExTe1m*M-2o^S-@Y&hoD;XsJIiI~a^m@8u zgk1M<#bpQy%6&9>Q8okj0pQ^K3j6VuWK4&zxZ9Z*CQkSduP^L{Kjv^YO!7zn-87iF zw=hb$Ffk{VFpkreULNI5Vgo-z>^jMM8Eb&<*GZnc$lLj%?FTwd*@*~ok(b=tK{Gz~ zwhQUP9bqFM2YzPZewx7Znqnc%AI`$^QPS7C#)jB`n2l(!ck>_9m~_%rs}ksQ$v-`GzC6)K8e$({H*coAe z#f~Y7phB5uh8iOml!^9b9L!c9M^UWUeWC$fFuSVFt>oG3>e*A4&Y_TomWn7g@lLQ* zuUCIYx*Ru78Atj&K|ElW+3#XmI9b)J&NitPcMm9vpHrwr2^QjYREJXtkWM$@G_;}` zXC?9#Uf0XF9gmC+1a&tM*+4{f!+1dOH2H}m;#uxKB+=Bdc}z;_FdPK=!V>zOR#u&* z-ti=4fWd4mhzOyrD%x9L2$_Y+2Rw9h6(0gK5b$;(arqqO=4Z-UV+-2$i220fl1P1v zWfR=(;y8bdBLWM&f#K{xKyO$i%R_L-I^Cr1Bp@a!#0G^hCbn!5(oGZtu`h|*HKY@x zw39o&UUwD2Akdf2#aW&-cb2m}BMn-+Y+P))r4UfkX49iuRcBOv4RxRltXFAP5dr~| zS`!~YtYdn?vI8v4>-p6dV9!vo=o<4qj#?DjDakm<*~2qaOO5bvxJDTw$thzJ?q=J8 zJ#VBrW-=S2zQ`pR9;D_F=PDK41bFhcgj03twZmxY`!Y#IQ9GF|oQ!DuBlQkp5uMvi z%od|qPv&Z@sqOjoss#mV?tKZht&sJIKqsTP%Q`HKa!s+IQ|T8>Mu+TF1bv`gBQ7U8 zuk+S2x`TEMk60g-ErjP^LKM6j+14eli+^3=hz?wOLx{oC_7>9UVA+6|k4f<*&&*WA zf<2QgSXaBjP-k0M%S?eIo{NGUy+21^EC03xqERW#8_WV zSF1ei=MuIovFsUfWA*`OIcDpu|2b#ot|0B%u5oL$7hl5S)ziFgHWN1GDMsAxvY&%S z?^wJ7Q!HV>=!>k}is}jJ7b7%zb27~get5UtQP|9f6WjM9L$dIQb9RC)r*S|fdRA&N zc`fz6GLTft@t8}$#;Np}t%=N5bv6ET!P^`t#gd!)sQw3KJL4veLpC%zr+iu}@gut9}YxUz+yWn)Da-XO)?&FYB8c@hTGGhKu zMvClf=eU)JzcrO{bjM_R|J#B&OuLmo_(4lrGu14N)+IK{X>lYBi?T7ZOS$R|0WG{Z zpN_|4VJ;1MSYhQB2=g~3_DmzSLzu0$=TE_;S6g6eqUl~h!Z8+kW!W<47>BHZ!C8ZY zXz4V13oJwR?0WJJP7e5=JZ6T^bB&d0^WfMBk`0Z1sPG4V-df?`O*`LAL!w24 zrCLofjz>O)uF6_Mw-XtSr!^(*I{=N%b@0{l>tr&<&qw;O(Sb)mOOjER$IZk*R54CQ z$+t_LsWVQ;mRR zJf5BLYR%S?>H1ZsQ$HYOfbS~29&tJe-;pE)vBG{H53m*4v`?aX=g=~5`*Wr7O%HSU z(t|M^dRL%COTy{3hN`wWNRYJi5I_0*fivXBTG%6-Qvom2HcN7*wx-MJL zPQe{)^a!y5Zo|2gD=MwSVke>wzMqEcsX6n?&0;JnT8YY7kl#Sr&ERMjlp$*X{4iVL z*pd?6oQQyjPSI5f;^!uL(r)BXA=?3SI6{9SwbQ>0!{m6INQ@Z-xn+>9Oh-#%r=xtu z@gi8@*Mua(QC$(e>Y}&Th4m>WHIz)vjO95eI#{eBtra8>jqns{UZjeGFJ0)=ovj=@ zqPKf1JEH(BWm+abGfQKw_Khzo*@cG5x zp+Np6_Dt(~=SBP+j{p{VPkHnV_9m?HoFgsfKgP3URYKki;yIpw8c;=uBQ30xfxuDx z$-)e!I_2^;n&|p!>)A;f?kF(c(!$)Q=tXnQKaYU^Svyvx;p z`I~l#4`&qhyB}s#%*(dukG_s)`MH+qjFLxmNU2oL_T}98YGjjf>p+mb-p{nIXK^F_ z;84(Ns~TqQhI1@?_&OgjTg^T-Ox}LzVm49TL~g}3<=0VZDOOljIU+|Ax0%E%Wfv&4 zLxQ_6MAO2Htiy}!$y;7Kto)DfFlP}-H(l5Xo!ZjAVDfIYZH++g={tB#68G-xGV0PG zZR_{0%!;XJlhx*>=P|WakI8%JK-I>_abNZb1@$W<(`4{ktKI*vLR4vWU8SN%?1IDw zd1BR)V>3yoif@pJq93H=kEh;=s>5sB7~v_EdOw(b#_pMe=)@Zm^WT#=Y(PbswC{uc zskyT|1F74eWg~}%T|9P$6-f-f5kCZ}zrn7xv86*59!e#+uz2?~9Z#>jqcaV)#t@}g zqZ??Ot*qCMRT)32mv!s_W-Okh`&af?7W_?j2Yc~#Nued(^=&UPvBcfCay!`Q>migW zs^rMW!VR-NTO7KT{f8cK+T*saFcj|`HV}nNn}>6)Pxgw zx~tfoMBh#qR|atK4^^Li1dbv2GTOVk#l8WTa zUDieTC_WCVKnQ&~X&~n_OPZkB5yFlk-2qXdlij%Oe7x)%@VD3sKlZ@#sL$V!!uJx@+ef3 z{tWuLIDT~3x);g4M8NHf8f9Yy2hzPbhkm>=FvG7mxHv5H9{noIc?)t6M?qn0*$r}# zQ-2Nju>Rl{1=3ubQba83lsEC|2YhS`vvo7Oo9#OInMamfJP`z5Lp225TQ-ZfI0t2e z(3rA#|4v>=f!l^(r^dB|n$EvvT=70G8o?PP-tHG=7=IFmzEqJ;)tE6GA~cH z$wi}B#KH_+V_ov)@7Oj)U z2q%U@O`CReLyVT{+IY5Lmw>;`mPhK0o7dQkSf#U#$&2({3cEyYZvb%6_?wo3)vm?0 zhcXJ8swcV2%u}M{>4T&T@Zq}f1D&(_HtLHCJ^3$HFOn|UAOY>Vf=XR>qqg`QRKBPz zZwXLrFRYR!Da&6NlD7|}Emm7U?rih|XYV_8(TX?jo>mlb_$On#%r5$ZEjlHY4Wu6J zd2JH5?^6aJy@d7G4<<=t4N0?O+34!ai&&kztY{J16Vg4cR`Pn6f9PeMyo4e4$c3s4 z>;N9-ko8k2xQ`~mow$lV;O~L{ATLVuIfmpL6zf-)b}a@mC&Pu4Lt9(>*CYt*{Pfnu zvHPe*BM*c4TDd)Ek+JWDXWGM3{-G-V>ksmvpDk6&z`s<8wzm3v-(oWkgsDDAtmn5u zf+sd{C#*?>|^^N8R8{loa+w6cWWMMBJPl>m>51Tr~O!{Os|@tZu!*p;I*8&1>5z>2n9{ zz_uZqke<}v^Nq1Y2^m_falc_QrZwat*tdp~{jF*5Y>H0m)9hqvF|nxkKZjzUd33k` z;U-fv{wFF7fX0#l6K_wX$(uGw*w*P!NnH46?BEQ@_Z%NE5~LRZo0M%XxdMFnK;qfc zVri2(3m__!-8MLnRMYYUz%hWhVZV#co)=BC2z;;y&nP}?Pr@?FePPaA^R#MVCl;qv zQloZ)DTu=tNG+Q{7JIdE$|lT?PTvV>5v|y&i#zXz)0B)a(Ff!lnb=ey*D&tFz+7M>?mzcVwONL zE>%jH43SrX|Ibcjxd_Wo>}*d^&NS$B72DfXv^%`3^}PvFT((F%U`2;et!dKVQ|J zBvb(je`&zE87N~?Az@4F=oQkTD1AZE(xDbZJo+8nvl*AdCg->rr5W=_-f?r;01_Et zx)GCR=1Tt{*VOl?aw1XU27pFi8XAYn!8w~JN6fXNo0LcGYK-agrdhg8HH z7geOdPt9tIyCC2m6i8Ma=cnw{LRtuAL|;lbmN;CQa%GqYz*f-fxZ7+J2wN#PFw+C1 zaHMZbSm!s$quRUGL*`d5R3yw+3uy5`S$2_6JHu)V9yI!|S%Y#wo%%mG#DniL4uc%V zxD?iAt>sqa=nd0u0Tp_b_$+&9k37z2&~B}ke5Fz;cU~zHOoM4Vqo1?@EnQwci05>r zZ1kaIgTmC(}7fjJ5MN&dE1>~?}JJhmb9VH@OjsJwB8^%7Rbxg3&(DidZ;xcZ0< zr#WV8ROWLu-toUPb11o(qFmcU0aR$hH_=isTV0%O55EYHo6_skqwHA>HNT427x}L{ z6-sZY1;Q~Q7Dcva!fCz-$dVJWCz_pLk=Nx%Pxoe*q!-zpPNC>LPV&RPaRHvUxmvom zNIL)50cL~C_@o@jYuA#War*b_1@co9mli5}&tolVEDiWmcjQGk%I?O1dN#pB8PB%= zl0Cf%1##OKy09A&88)mpjxLf-o^|tHJ_eK_j%rxx&KFZnEAiF+iZ7X zT2}Dk&>)rb6Nm_`-5gw7LerJxv&nBO&6uq-6g5Ll7J0KnFYq;1Y|{DRZ|mt`-brln z68(LB7taK(5uRZ11j$Ta#YqwD$k5&zYeln_wc@9iET;BQjd|?%1g0u>EjW~xyM)e~ zy{6?LrlZn8jW*0yk_@Qe;O4zN1Qg0akO!X5$sv-Ok4|}a227@yGB1*jxJWKua)_1E zKL4dk;MNz0{jtg%)+vLCyWSX|*zf!FB*y}8-FHuEi^$bS` z9|_6vCr-~AT82IPHM-~*d3PihpfVEkc9(|cz}Hm9+%-p#WrHk2Vm3JyW8=${X1~iw zFTY-M&lZmTlBM_8T2l^>ed1zUe&mK~-fgz(ne2a`=js>trKMQWikp+8qjfJ&r(A+O zopOQWzARw>5G2y0qP1Hp_~-pFEL(Zms*;c3e%IHEd*QR&`;$SDsk z|BNZ=A&TpWbQyJ3duIATmeK|KR7QA{(Ncyc#K)B#jXQ`NzvpI4PSo|n|OYeW>b%&6E$PH7xgyY)hxhfCSzxDG$1F2cCo9Pgp@#x!@F?R%NJw#S_m zxTBXXp4*h-7ILa^O#POC&4`e&4*@drtHeyWv~9JTnLC@Vp)^t#5Ba? zFU{5#Qmw2=5f|q_JV_V~8pu=ELyd@~n>pgO^_RBU#A@Rbzlmd^(h*>`_5!y}U^bUb zZG(Pws0L>>O5wes!RL0ZkxA_FG?Iq25wp;+^OzUJncjm6!ardgs_-U1hram-RK7sW zbP?YlV1_F7p!z^k+-R-HB`|xH6d1*hj#!qXSz}LBtelAU+%_PzKX|r*jwy*r-k{pa z6|>0Tr$}L}!o0|?DQP{{4$^eKRuIP^w6~4|UAyGHH*@XGi()$n+adenG>JC|1g%pJ z02K%)?e8SX$g>=$6RwLIO23{?onqB=md+}%hbb&_80=0zuQl~?J2QB?mQc4l@8;F2 zU5n=2+*tOUJu|$pYk<9-jvFd}Z&?LXJ>)iS$ z%Cy>Q_Z&%{ZhsPz5LCZDpbD|jgxd)+w!S_?$KO0-@iF_kC9}T@6d^fr>2Y&2mRx=& zJkC}%e!~0sQeGD;%+}kJ|8jNcLiY18Cl#Vj`w?y%PE4-=(xp0Xtp|3E#2pAi?g*F) ztp|6Fq|f|JVeY@3*=&CJ6xBlvIUMHa7RW&+sEEnIT!mRv{A5@%$n~yU@3ZJwoEUDn0 zt7grwXtnj*dCZ$*wbd^FMrNxm4(3u^Cw{!!8dUH4LNJ?~|4By93%d$?_g*Zhms6)$ zhiZ;9k!@z}5zOHs9Uy)_dtNYGyHy&NsRWpRESOvk<@0K4e)3! zU-I%qSm!0TJWltHw8pcQPR}9qjxgVPjQL1bH|LArfc z_BR8KR5HM1}7O}v^-P)Jt+ z#`XX*4n9S)!p~(joZ`?Av`g8Es3WfJ?T!~te0-%ds_hQx_67U;EbXK|ci-a0jDDNi zIEXrbajdWdX|p)KYhfvQn>~ZsI-^(lf0g$?L;Cd!pL%=t5cDWIp~X(L^b|VB8r8)# z4n?|!Z1s&>3*?JT2^>3GzK#>Keh%it`_uWN!3-1~am#M{L`a%v=L+=kB&gzFS_ns~ zQ*os>?jv4{G280gDrqyuA6j|XS?i~=HMN6r`@ffCXmgHv4^BD<8hn!rDByW`=%n5* z{4i~&@ut{DMKlO_hK3qG^aG#RTSa39@o3A?TlnhBg97xyJTVqRW_WMkOA66ss_bb7`8$Z=jG85Nf4B;Gx&iF+6Qy0 z$HN`39D<hz7<$Ky4um%q*`fk+kmNbUdIr*PgCD*2N<%6H$5U5^G9eXj zZi4g#e6#!*y#)fjbiYZNbQ2D4*3zXX5UFMG7z(f|A=a0|_PBtRmw_XnC8VL_TE9y` zBbNL+>SSLhK&&EUO!hX{eI4wUd#u$nnxO0hLrCn;uJHL#TzO%xCOO(+$<9(i2+on) z3lo=5Vzz48b2v^&DR_b}Vdl3}p%*HS(%_%2W};;+cH#Gx%ZBaDub|wb{c1>T0dQ%n zsSdv&Ei#=oGsDD}ig84%tq=2a;-4TflHgW)LSLa`>7lerLnbiQ!2&-)qT*tLqYV?P zH!~ey*PWETQcz0!0W*E;iRn$-Sg}3QOfPVZ0;QfbW+K=mEl+V$^){&ZB62*-bQPlj z&q6C@QG@*ElC2jVlxSC4r{e3gCI-O(&@P1X%zzdV#;RJ6cQY09dlcWR5YHs9O2~Ta zlH1Ktu)`7z`1T#A3bzk5sqBjII2q%#A__Nx=aAI)aZ^4a7iO*CxGGy+pp1tu%pjiq zrM`NSx-wxsX%rYvn+;o%wKdji<>1GC-r|KK`*wAe7p6*m|Gu;OhlZAx!^H_Z3x|D$ z%KZy=(-N~$Df7PPkh$hv#`-HtI>I5bjfd@E#wnwGn=^NDfU{N9W=wWEtF5d4?~~y* zrjhcgpwRGjU8Y=((Gp+Qp5fd~)ssJ&M9;gS$I|Dmj}qL zE&j(vvn!~&t+(?8opVv)O+MA(KRlBrQ|am_{kc>w8zJBw@hmQ+w852TYjvX{5fBtu z>P#-6I~kt+@PiC-5?%o6VpB5X>gAG5Ra?x~f$Fb4&%S(0kVbIu{4oFFH^o$4`n4rn z+;gV0>{jOX-aVYAn61V2Kax8Cw1oUC+w*JR_sOETpHEr5|M^+o;x1;3OJC-l4Nl~N zpf4n>wuXEE;*J%YI)S2-l^CN~bGL*W{!!3sYg|eVU-?2&efR@5&Bsv^li8~8r-Van z2OYgEZR*oJT5a`8bhkQpNWq`|!^yny6Abrmd^=sh86D?h<`QUwP1(3L!Q z9(WxOezv!J1$R`syi8f2yxM9rP%tDZl^t|f={SA{c^IBsbU6D1cC&erb$E56I5}Vy zGuV2T-b{#oz(HB5|86>-;y3@Uef6n(18l=7cX7DVVTPL+huNoouV&#n?``>Q>=XH6 zOTr&u)@YY^*o7h6#0tEdR~O?UzsHm+*H0$NT%*UeQ~82JJf}E47^7gey7@OU&TiYJ z*1DsbJ+HmVM_;ZC*2IjfKxtGNk?oh&Hhr&et|4BUO-9zd?X*w?~0~XcpeUq zUttr?d5U@RGoWCK5{OCvn+ z))F3jr`dA)VV=Cjdx9c4`{Go_>`_1PH=`^v@~8#+TiG1Sl{m~NpZi|wmBdm4^#AN~ z)x+*(e&0sx9Ldy(@3uw~kV?m(5G-6bYxZX}?Emmq`z*^tQSQ0)FfX1qPcmwl(iKJ| zC*XzG86X^Hl@M+%9&hs@`0oL0J((>L}8dDq9Ixu{}HYsFGhB$hW#2VpXY? zjAY#+vXRg9p*bG5C@O4l=tSFcpP{lmkbwR}#@leq0&YM%re?2?4m_Ej4S1Y7lgO1; z?d9mIu|bRm>4Xtfhca*;5#I(~Fy6*iOn}-v0~hM9F`tU17DNbrHw^d%yUme2(}FR5 zn~_`GTO^5MD>AV0YXI%Ws4JzCQWsp@c}TvK!(M!+Jz8Br1xy5iwR}>d4m-W^I6+XW zR7AeVp>32K=M|)nzL1Xw;+tD-SE?CJ#QbkbDF&qj6{i^*MHiUOj9bw>x$b#Ha~ zs3rNyn3D+ldevyWsH7HYs4qMYg$T)&)>F|lKS72k21t2X;>~FqMtmW}O9S;$Ig~_B z^ioldNUH1o;Bg{DD=4M=7(cUgvJ8-x^$#5~wPLn{C-94DaNOG@qQ|sCCWO7gtCzV4 z8p-scC6fZD$Rh<0Be;4d5X!`DFGYBD)@k7eFOPHo{XQ9ql<(n+Z4XYdO}bZfG%F07#e0=&ab6V z3Fr%<(y3#rr+^Y$f-)lzpH&SQ6_jZ*q|7M(Y0?rKu`p`Ao{=-beW4UPh5|yDSmI0I z*?L6BiRXNp@TQOw97P~spZBKshC~UT#^Lz&ho?>+*^*>|@Q!c` zl20NTtnuq6ITgfVe%&M@>j{@*q>G?ST+bN21GC=2e_f$Fiwzx-P7di$^{b`olA%(E zCnQ{G)_8EKCUmMG&R)Q9>u)J6ypnV&$Nj*IK!i>XDJ+XFjfPZfy~C@s^rgI3+Tb3^ zQL(xkUj#X*?S+%0q?4;JEXUIDqSLdpj4uwgjQ~VsJlz~L>KBi87a-^~5VV4onI4$?$lfE$FEG3FG# zitb|7FQ!26p-r>{81XA0c#&)5v8wFpOAB?TV!)~WxV$09`ZAw9+ry|+`BiLLbnnVxqZLsTxt1GxNmM4+O*F=m;H#x8n8MP(`{nDmQT>l*Db%PB&-H1>(9p zO63=qm6SnTnsR%a(~WF)#LBx4t#w!1fyLAtR*Nv%o1zoCB?l_#lOarz#QuPa#7?y} z8vVPE;Uh=>9bA;zr8bX>$KeC&m~Lar_AAe=tUxoJY6a~Kg6)#ij?=lJjlzp~zd7Ni zW_2x_K%zMTQspfOC2+cA{OCN=Dm!x`LdaJJ8KeC`6j(_{;l&fFEE#E+v3E-Pk&qhu z?#R6oxEYd^!P?|zOn*0wN+JD72hbX+e7z;rew-kC+k^((ERynS>FUwRB8o`fIKrbXl_#oihL}8E!tnS8> zlD1*2x}|h_g}poWs&e&){I-1|Sv|{a`NperH~t%TXvnhb-+8*TukYhfo&RpfmgcUt z>7V`(uncI9lr-n48*D^Sb7;STGaIJKKZll*I6Iz4muzMidPuA&cFxdq(#g`seVaCE73-w^B0 zY79F@4wNOwW4DG=}I48 zmSmC4oL;1JCwNY>NEXDQ4+Q(CyPf58&RPt!Z~xb)OTl6Cxuj|T_%uEaa2M%$_B_i! z^Mlp++FY}>%UUn6V%GR_WpRCzqkotJk83@D7Bk*QC>rPcA=Wyf`tsY$D~+!Z9r*kO zJc1S{jTi6O2ym35d3xN1RaPK^f;%d;KYE;J`cJf*&6WamqU|b|4oTm~uVNNxU{Q{B zaq^rDMe`ijIvKf*pV@kf)V;H?YEJhy_jdId?D8H9S-oP9`d{)74z-w7yOZ5p;R!pG z{62NLH43NJCA70Q^R>C7ce@!dm&c>2T9q*FwvlrZYNh&Mgned49l~D#*X& z(&C-2@MB9#fc-!$T`ng^IUxHOI^ z`jOdawKhl!MmU-M`(Z{&EjeaGwLy(!#GE<`T<3UB(MvI;;L@ye5nO-`GW0w}J%*{^ zK;#L;o=!D%Zypfw76@SG<1C>un~Sf=94Md$n~)%u09iK9?KZd~Ed~>)d!Z|oh$UgV zm%ixgacM!k!USh07RQ}O>cBOFAD@Y4poDM5sBGIpV~{fHj_JC++xY@^mm7dim3Oj# zv9^K=F-bh+sIZ}%ROIc0jXSvkZ^5lgHuDGBa_BA%j4pIXjlNn zMZ19d0yRc^2&WzWYK{bf6shigp~Zy)Y`2_p8rrNOEQ=40O)A==9l8|X<{_G$!SVFB z)6feYEwNq9PKHp;OmK5iwGA?GzIvana2!1-Ve7C}#arTe>1+T{yEqBLHhy;!Zd>m{ z684~xJYOKVpe`y^S{_FMURfWX20@`GY#{J6JYmx&2+xnrXj~b7FdrYGSei>hb-|au zp4WgdFHzWm^cGmJh|1WcMQLqJQc-ZjjV?a5Xi8hBJPcPG#H=3#4m+9hJSUJQ9X7~t zr@?w$Ej5HsD*qK<#N{Q6IVC7UwHyp|yt<>iZt&e+`P^32Hkhr2kHSx;YyG?WAP+eP zLw|+w_UEMTuw}vRcR`$5mHaCIgFIHhHSYH}7}v*EbdZ-9FlUvQ!G6A|Ob6zs;W8Db-^oMKDDe~3NWj8k8 zlI7u+UIc?AX@wT^3Yb=jBec{3j$SbN)je6*b*G!Z`6hDt=clPQ zwXUZRcFF0MeT0n!H~)qC(d>wiCw#Hjag$2NXy6lnm@Y3#f+~cQql<*v5VgLnkPbMzyghJR50`#+GRFA)1&rtaC`p5SI&8(#36?V6X<5L% zq0+Z<=K3i=>bw`WQ-DkItZSWeaqBHhBsVs_1(EcFu_n0`(K;`Aw!mX)5Zm4`;k~s+ z4yEBA6qi@SP|`l}Gq-D~bT8`Fsk0FC1oH0uF4M8ix5{iS?D{!|Qk>WXhQm@%;oY}U zw#0jv7f)4!SHk)MZHCs$u@KZybTLZdT5;9Sq_o3{m4H}v#H%9AZ@8~^ZUD2jhNhCnMywrN<2JLK z(bDbaX9E>b;>)~*veD%7L=03UQ+U{I^L?R3l416s)N3g-kWGGXfZ zBLr&|4Uz%WSIMJ>%F5j$Hq^zoVq&)%i z0Omc)^69W$RqBFLygw3R2P`#2#nw-*TERq7z&o(?k0o;T0q-DSCY?b@$gE+R_y*F< z*;jmKDRIt5@iuH)UrpbDY^asj9K+{S)Ph@d!ECMT-WO8yBsU8!hTvJ|*XdW4ixQk} z)6s18a&`d=k-iJj*AsKvmr4Y@Ab2ueI2nPzf2#?pHKnvr+H0#QnfwVnhrnW?1-Uby z9628k#ZXT7dc~;QbTnIsM#HSKI+a0+#X3Nb6U-NTczt$-G-_O#9}q);Y-!8bVheZ3 zOdT|hl1qe!u9dJ+0P;tm>wtU!ptAb8vel{nuC>b&CqgoE=R6fjjCeC1_sOu-BCA`J ze=^l3e|?jCXQyVMF~WD${)RGyRuL8_nl@Jx!q9`|N& z8y-0qEj9)rHC0lY{7!-xPJ-hDDJHr@u+tP{C;YYCy9Biv zz1I$Jhwc`Wx+nc!n%%$8rfY#VWBV7pD31IrxnIhf90WICIle0$M+>>#Oq;EbrYXyw zk0mp|O^selmi|GLPp2o3Ev+RETfZpMphD>hKFgbSS7P`4Ce5r~$!iR_0GG8YX=q+T z{1e`ccj7qh#e2OrHxl{X$+f{q%ihb8@-Ige7n!XK@qpdqTFt){n|UWqxA+ zY%E;NRvPXM^T6sLet>g@?25EVPOhFU&nHIg$uoO!-#(~QW48}2v8qlxfYhmAukdT$ zI~^;%+&jWy$M+M?8mkq5<#ZCrHR+STqOW3G>oNMj*|#_=ek1eGhoMz_pH^F+Oy=Tg zFMQ;})jt2k%tHMHAF;Y&cXH92yxDX4-OCj$`W>aM2WVoMPo3Mtf5a2=kt%yDE}#S% zk*@Kng@20~icM;Pk2|EiHaFKWn&omS!st+obnyyrK(xff1%HFn^c+05Bv*@UXg{U3EstF3jVYF(wQwiXkgvvd(;>`0s( zT_kGND#gIBLsB?-dICJ02)cN+V+7qZevV&`Y3I53hWl7BsbbORcUbZ4V`(z}ZtX{4 zXVQtJHO6Y|(tUSY);h|I#aeBRW@~E~D{)uYt#<7>zBnDTb@jqsKFbbg?zRaYI+cky zz1CYSPhd-4KWS&bs8c~^^E@3>hQ_B(Bq1!)T05()#%e1E(YDp3)z*Lc)kV{3FP=B+ z$_!G*R;id5uam}$w#mRq7j!n5}w$E$HR64EvGs_{CuyOp!gr*D#OFdl!7j z&Kj)@^y*QFpVU42X=`Zcon$dH66@@aVYj~8TCkwI$RRT&u-eL*qRi-_dUnAWi5;OIG1IU8jwLfGGwWu0##KV$jX?m8?=Hm^P!mxMrx;kjTV_r`?pxtMLR7-ykVt2+@dYp+ywt zq9&ChM(P~M$DO)M)D%RJuNRQQvgSfeg7LN5HO7&2vQ+w99D>()U%Ld?zDrJ6BC#pKkm7kdC9jxBq&CWtyw?dU;GsNRER4k6)y%r{Q7X)2=DWVJ&qHLt1U*NYa)klF% z96f<&IN_0&NK>BFyYl%tdkfa50@bvpS`_{nZVb8N-37PqjtT0*_4Pd3mm;QVJ1T!N zviQne*_)jlu-Tsn^6^*BhRBFD$w;_D#;0j-n)$t}A4r}frwi3QzMiN*;k@(zK64>84i8vji|6T0d)OGEX?> zbjcPpCemoBv)Wp@Gnr={c#-+V{3iCMW$kka(#)PuR{IIH0IN}-We4rFWPuY7W=6ij zh#2sDD>m}YPW+!JMkZWyk53M0Y`7U3H{*X&=a=>cCyK~X)vq6f9S9P0gour}cz*UZ z9(jEe;GzHc>lr0H+_Z-uy(YJZZjUc#&p*7oxcR+j&^Z4zUHpUF&&RNwFrBHw)`wGO zbRCnEpY=8}Fq>c1F_5be90&|UNb_Femgs)Y? z9qHvf0RGfg7WZDuk$E3YnvLIO$|C0NE`~O1EZ0=-wBqzXr4qKTr3!x34xiuJW2~9= z1>bawj1;hlCcd35%%9NA2Ho1vIBR^1Wk-Ze?E7h|TlUsqj=I~eQk|KC*&6FdEODpU zU0RpMm6a8KUX`Mm>1jLwIB4QL!+Hspa!!(V>{OlW*9YpOiK}v?QNA$dj~!W}0`C?3 z@y~u?GONR3noYuCmaVww9jFuwDBH&`Sg^L*)TbC1Ca@@caPoTR^H~Qq7$ z@n6JqO#9@s9o)1%>?VH3&USXu6e@q|bOLzl>@|1k%kAQtyEHR@-9)g3qs+7r9!H)I zM>s?eyv1x4iIE?3a**}fdbxDUSfRav$D1lc5Y;*_dA7iTe-pOgwn6+*{_N?D{8+25 z9yCAZABz0#V5ONnczVu)N!cXTz%+r*Z_BHG~ zdP>e}Yn!estfyyAW0IcG=oz{>5XJYm=82Kru;v7(>D_g7AQJu>gIy$XsJnaci7!k3@ z)Iz|M&QgF`h2Oy;3fV>&|a-BZM^E&#;K_EbdLl;X;}9 z5F?c>*K8(@O;Rn#dmD;PTm*ptKv77Ud485SF1;b9F3MS?+sEN1uPMdu8QK&xY;yn2 zIQ>py+)e>K@$EViM3g`OaUUMtzc`(Y1#_<}p7-y{2^g|Ht8ehUMMhyiN3pFwhGT)k zc2_zU)@bgn>(T8E>2YhlS$xW}EnPcYI}3FzWx!jr5godOvs~$JeJ|bp6O`T2 ztH8dg2>u|R)_LJE-rJ3!B3&$<16)I-sae{*8j<9HlTV#+VgCc({;DkBNV9&4m1o`e z*X9U2a#jwNFcp;NM*$1~bVQL*v0LE`8~^3Y3ODJ)mjn8~@0=njR`P6V5u+Wm)oyzP z37%Qi!!!2(T`HBP0zd1JtVi;~D(hQG6w(V?1UeCP65!=&L(siT zT03Cpn^Fv`MeeTQ*?9dA&c&ISYbIHRHBCGFpif%2?8=e@m1O?%f1xa4);#~`E{W%d z#wmgNwU~BSz(H~psV^WSoPVJ&qUkw7k9)?xw4HFT^whLTm_=T?;By%czf`VirgN7L zMIr9IOBOhq+cIV^*ocp0X|gW_C`8y=nY8iy-&Q=@cxlh7%Hc15DQ?@E58q~XLg`TH zu%A)61==Y)N$J}cAc^m4S=tWP9|Bc&tGFHGwRQ|?2b4pNSjW=vEo0npkL@-8R-0OE zFiS`K`6ZsEk7eWaJBN~`aE3SATixTDnB~}=q1K$f8v<0HW|w*agh1oTv;>6!Fw%`BtaDHMALl? zTc&P~KG2ImFjk2pN~o#XS}^MaS%5&RHbEahlh9Qy=xhQX@RU=sq%b!s0tq>)yN2H5 z8G-~$LN%CP}Aja9_V`phgU?*b9So1su~O7A@fU5 zP13m?CSaR$b)r17IRT492W2N4cvcatGs@rl|lmy@U zBV1(U4+i1mD!E0@wvxE~d?^M`MiQi|WiFmOfJH1a`5_C@S?5}fu6`E|4-h{N z5h!6c^=+4H-?8hivm<3CT1Wj2I0oCV^Qsdf6}kAxFXmUI0+eb$WNtZX0z|ezKid=$ zkSvJ==ajV_!mitv(bMBnt-&vCloXokQ2mJoNI)AXya=JZ%|$`DE51a$(yP+Br>u>s zdL8c~ha}s?C{AE)^8f$O>1Z--aMf&YD}*cTf;=>sDwsXPeo-ClkRQMK$I+(dWS*1i z=b0F|w(<}Pa+a-C{lW0RqzmbtlO2t(H{lNFt#@a^g&L}SuI%GEN&W$-JcBgY3Qq8A zox|?5w^6WbRkwhjffXl4w<>I|dXL9X(~8&Ma`CpgWFlhArBg?aUK2R+@ zO!EUP`Apcet9T5v)i-WS=z=FkJ~{kTg{w_Btm;lIn%#YxzZXlzdl)TmC4E9B)QZDBu$5> zFL;Jo4yy1Q8JpX^rLCui;LIlBpY$=Hmf*>+52`_`v_Qmg_wvM)aS!sm5)#q(0&lr< zBIpt)1i1{lcS#eXC#2r09ab`1)6eXkBSP93NwRPuQ#tun=2#3}Dll8Ej@i0YA1^9d zJkFT&^Q`$GvClcE&!*|_m{XPzI ztN$63Su3Z7bos6sb1=`3omxz;*I#BY+Mm(xBUe#X8Cr9c&*+uS^zrv3_o=A<;$vk% zz}*J}gJ)~Bkq7PaL^1#aOW; z)np`OcMh?tb%r;4Pc^grdvmKXU!(oIS#tE0Nn06Jbtu!O-1LToAwuJ4y)6VrJ13w$ zd{bP3OSDOtUY7YoYnaT|gKzPP{RL#tEZWe@b$S#a<+1P9ErM&mj@$9~x-Z5LZPoZh zNNQ{U5YOJYhrv$ln%an_Kw$WgHF>=)elkWD|ocd?gSk z>&(oDb@X&6c&GcOnvXNk<%PvIt7wbr8CuQ7cPu9mh`hmA(|4VtOr6^o34l z{V6tMw`y5qj3GX`rjUI-aha#d`s?8{i;|_qS($g)JfF63YFK7h1+BQ^posw;j&k<^ zl}FuYqahPfe69_#po4ogBrZhP`*jEfgifERoZwL_Qlq+mf@KPe8k@OwB#>mu%rf?m-p z0PP1A@HI_5NnBRvbqgN|X;*ykgFsuKE1fphU994;&}P#hN%NX|qK{Z#pAWta_3eA< z>vt{dMA6QQuNzgj4ej)Fyxg~9HU)miom=z2vxu~xszca_>};=N;W2ri&4xV%`(gdL ztK;dBrPSCMp(orltF3eFjT!UF;Ths3eD`zhY6g}p)Y%OWhP1_@bDE-`++ezs%Uh#C z>P~dRKkcKdbzXV56QsN`#93T#Bfq?@$C7R^`|$2YB(s~^I{)Ub+4VMU?WiTicGt30 z;dc$8e@26EUAP_DcOK{ZvD8y-TTr!AUX^suFSfRRr5anGh!p#o|NM8X^|{LmZ%Z7x z$`GaUZu1K-r}ogHk7v17TOYj*3DwJ)5@-aoxjzmjgTxMvIDh1)3`=F#q&@uu-W=Te z!JY%iJo?gD7NBh2|M^&3W$VPjS@9CX?m90Avjdpb)?uq2;<%o7+~8y0+Ws5Ab5evy^k|ePz`D%1x6HFE%hz(?@BA@BJC?uVyyBg4w#~sh4>b>ew5S z}&O1-eJpo~S{7q*JqF^Wk0fLFMZsMS_qEL5$kw_}pU%)a}8 zebJaBT=rS9|IRbAX$G4kc4OwOc}JGlo$`xH`9yA(u8nwH6i};TcMnjk`9$nlHQgid z*$lmb4lZch7UzImsWQ6WMSA`s_~C~s?dHWa$vX)-@@AP{Xnk&(4`2+bB8W%4^}YCH z>S|VfnDeOo$G8|}clt6C!?F@eh8^E)zI*BSI|%*Rg$-$(&oVMhL*cY-)F~Z#ZN?x|mG7Im#O-FE4c3HwD@%C59;t}lJ+E2H*w`ntRC+UIq zeO45m+ZeD-*jp&z;YhsBo;1lD9d8nAD-*Vmw5aAtO>gL4E?eYTTUM5utS81DmQ5 zNQBo)6SLEciAzJT;O?;bnD=hn$-buAn1CHhzJ=Mk(zaAqv{}IiD{fWSH0M}`ZMTWk zdoZ`N3eGe|qf|dPAyo*lqO^Jg1iYVWX=k++UaRd$nnNTZa2euK=l2OhoD$Jcbpyga zTPkI+sR|M&spafN4BzRp&|Yv?O=^fJb-Dtbx)oyj%dC_ke>2zc9Tt;z^P7z=fo~qt zMAbXCv)f7wMyN)fnfVDtOhkgRryz-n@bHN$Ih%L2FYV^JoiEj7gn9iREW_WSeq5k{ zoF%j9SkTFJ>IK@69qYK-LK^D&D~*Wt@!J2w&Yh&$CTnM~o$YpR`m=b26yyEq*OSqY zFovV-fuVVRcK+}^R;#=~+t4QAEIi_y$+vP|#XsE#`nr1dT#iZm&T*gKJ3}%4v<3TS zlHM5irrnt|5asiQAHW3aFEl(IZkj(BEDNH|!uQe{0Il65`Mm|Jtu$mtOMdYQJ~_0e z>C8)Pv~tbv?5q}jhW`&d-UYX_H2mmKO-8p3klQlW(QCXdvNIz+I+hXDHKwgqvY6ZQ zh%fQ4@j5`tdPq>BRqkFiV%6TIBJa*1LKJDwytvC&K7U1E(r&WFll4~Z*1i0QH5Mm# zC95)9Z@n#feEc&bHh=b2mb5wUI* zV7baZb81I+8=GxN_!?yv&*FKhu+`RSiz%Cg3wL67F}21VVO(wf_pRaK*3CqxQpF>g6!V7HyRw6dr7euYyHpy*D&&=r^iLU; zOH8}O2>}FMx}?nu(+@hV)_i5&{{Ff13q){7DnrRTcYAx!&-j@cUevB}lJID84?>d1 z?V;xnBu?+P7TUji@3CF>%;?3e3}AF7n63AUV?VfOam3ZW^jwm9QO>?A4tQjfro^U} zlx5tYjEgf65=1q6c@lJLlYMD_h|`vhuUyN^UT^bp&tg#4`+-X3_;XxNUE}%sp5dtQ zkJ6EM6<2H%T^L7{Zlx>cfFzNf81#azyhQ!VdgpEVe`^Z)u>)<1U3z3+GA}DK`Fb{z z+{pkYq&_ORXkM2)t#UesgO|j4sX+?0sp~t1KsQ8ZEU!-|}{<>S>|c#{PklYJ?H7G{4Y}HWleZybgAm zMl`>$*e3S~`RjxBbjf#0K%s`+8(QnZfyHWTkX8O&<|96-GqF!`Rrk!|KZ9Q#eY^w5 zWTin!sJK$mT(K~NGpAxnAnXTq^|pyG$*^2p8L7Mmjk-r=yI96_gey4~aJQlVkGr>l zj;y-QMEALMRk~7(?kk}NLHIcmYFyn0yTI5F6M|0(fd%fEf;0s4{8NoFgFQSCacmFH zm}Hj_SSCzHW?+J6{8M1;Y2%;O_VeTsCTns{aMJN&WjYSdW8U)2QapZM9VgGL$Ip}S zyzJzC-?{gesw7q2>TZFtw^ZkzpMCZ|XYccW&OO&*3*Vm|L{uC$4jOoRI61czJ;x<* z36LDhOPYK*I>Et#W@5{rSPhhVPp}N3V3=5kUw~gG1~Dxyh|W|jCAetySF-wZS{!f(X54 z>=J|fLYA^Fc6kKMM`V=_wjUSMQV4iaiHM1T!5Iqj({c2fzG)#w0ME22tfeGO5f0IDAUC6uly2W8R`;8&%EkS;2w_5!oclJJs*txs83Rv|DOYw8uC zv7=a<6a-~&X<>g#hoc*^4VoMlw`I#BNAVXxD7aa~a7>@DS*M<2g#qw(JT;vsIcw7v zgEbU>1g6qk(sX6k;)lyy1?StK24iGnCJUP)5&Tjf^Y)?xCA|qy)R1{90!IhsQwUXL za7~aH^!oO627@1X<5h8VqYAnqPx@Kr7fmfjDC~{UTDB-(EFfT^xN6|TL!R?%SA4*u z2V4Z&SRG^;BK?f5&`!21AggpR2*rzRgB3`9DU9HYg5}sL0GjxPiw&X-04Q`oOi3q zqD6!?A=qx% zd6VNc4}+*Q#~t9Q1K_+MC=e2OPeOr@OB2oTwMRzflDYR<8A(cL@IE0!sF6-8Xku$v zi3t8`WMN*6ZQ<2?C#}D+*U8cIeZmY6tqcNIZkUFmP6E}mZA&A)GILZ*b&-F8J;28U z7bV+6qZdCSX6pQ)?stoBOdC93Sz#>t5e^PbOd^~r6ki>Te{lg8ch?asN?lQkUurD$PgKQ#YmP(H3ZoVU-6 zt36pu!ENy`5LU&uXZ3>J+f9Q}0}-An#OF!fK(jH}OiHTsbms`?$x9igwkxaL&M zz1XFh`a*f0ugwMXm&(k!-@@6n=G&0B->ip@46eMXCQp1j2$haOK#8;um%22*gEgtQ zpE*nS!3AHcvzCEA?aw`I5UFEuKotBGKN$dpXhonz=%SU!SkJ9v_c=$|_nv>R4tp$$ z^M}|#E#pwrYkk@_;j_-`i|-Y==v$`c%iz?%SX6}P-+L5GWNZbc-_|&YiiO=Go%lPv z8kykA*{9z-6B8x)EDo}M{0CXFWSjy(KMkQw?9LSTSFiW@&+p18P=E)UqH^fmP#nWL zMH+Vryp9y~1m9;8>_$!dN?R!@puGA1kT10e9|P2te$ zoA3#NOYqg&XHTtKxg5U9+oW;NlNcfEu%2gMYmNL6Z6qSqy{3v7x2|r8`M$;MhO}^K zl)%G!C*Y5dEe!Ka4=<|9Wp8w^qA#|2dHPYWt&0(3vPXE_Sk%HVYy^A0TK*&zl% z?a)FjkBMWT<4LKYg;|Pw6p@kZvR6_(LfI8SELHSh>ak$1T5prkwW|c1B+w2%%vCS) zD@n4b==fpf1jvIw%P;`D6q_cm9U?d;H*NOl%z;a1t>ac;PUQuC9#eKPCW>$o1b3@= z5x*aNTG+YxP;Rd$9&N~c1+t85si<{9=YSw$71vKL>k1~Vj8zDSiNPH{fYQj|DLl<% zbX1`_OA9B=H)9W=jA9ky{4%PUXGs)?a%ZXYfk8c{;-wN;V$Z)bZV=dMAJpmjGiTSe z6l2;t2Vu|51eOgkcQ&gvoHMCU;AYFFxac|vv4v{;7_R5h<*$m_oCor<|5^|>i z0P&~GmOe7Oi2h*HU{Llm)cf1m`3&|;Y#c3*}%Q2 zhSl9^tUR18CO{F1&MTupAFScRv+_Nd;a(YB7(WC_ze>hs-)SyY=A919fdhbh2k@Ui z$EE7TrG;?D@~^U()sk30T6zXWCwZ$Fb1BF^y9?YfJeGZSf68sCdE?qEcLQ+sC<)pn zj=c6{(^kc_H6@o*&`WaLPyLxTR+i~t8dGu6)fc!VjBgXMFaL%f?E|09{F=@ zct!7Xx7Au@+bG=>o#&^m&)|^%=PwELjxk&f68{HTRa4dkUu%pT519b3V&Q8*KNdR> z@B80#x7_}tQV^ipO7-4QAZyEyT`)bEwuUbFLy?oe8+6?Eav!IypTuPL{o)+Zq>R(d z@$*iUjsajuiS%B4tJWU9TkavHbFCNlG(kVe9qLt3{#n17jDbciqH8i2v{nBeRaN2LVTwVWlQyRS%4S)}53-A!K(Cx!`xulL&$DR@i^aIDhb^`ig9#hx?D(QUS9txHu zm<`{DLBsN&1vkoFK@z{GxZZ4zi;%otJY`G)-S>PZKztRj_e;87PV=$Csk-3aa$#g33 zvcpguVIRgPD$8SPVa2b6^?BGll+%aBgGJ+*A+QEqz9qk{1-m+4|mTj!^% z&DpW3?Z%XUgjkKT9I)3yD+hOG`nWYO_XzC`t(hc2m5GPskViV8K?Rm%Zx*F`a%|;a zmwFmhWT^gd^;E0nFR5!B9kP&yl%rpF{)`u?_XLL}tFb>t zn>rt)WBSQ2Gs&FmWCy+Rl|tceYy7;iP3*SH>?Kj$`VL-$;s-pU-<(4u4tVtRFn(?k zn{{*)0_34Y!n9TVZfogA6dvrv{Uh#+VE_;7LNKR@Q(^mJEcb=rEG-`%$tmG1%u=S?A>Z?0s!tb`;`(X*n zXPd*-1g+MBo0i+Tm3Q5l62{-n5{BJYoS-NG&-RFQ_Qy#6RTcD+Kk@S7Zmad_If?-8 zw(^ovM;%XKwj6&AtuAJ^W8st5=l*~HqwVp01y}JnFwC3Jp1d_k%dwpM$0F4qNT^>* zmmsI!i`g8b0U;%G zV43=P7kcFzo8pUL%qe##tnQUYr~7Bu4rSc0EQX$Lmm##FIvgLvPbd9d za!EW12M?m&jb~h5$x0g>X_51m0%JUzaa!QH86t!IhLNbUyLA}DjNXlPESr+A&cQ-( z5-{-i0onHCH&DRx)mA{T6-17e28%63>CGxMs>b4_IY(;@&vFnt6VFIFp~Nk6s_@on zMp3+e(JE9(s>>w(ajNrrUV}F7r!&Lx<-ZpSHWh>?<9FxWkBqJj)9!^7ZZxzm_Je2GRS!A$3mDV3RYJ-{E( zN7E_VaPTbvca^X?aIAcRmo4b$`2o+IkA&MsJq#|ob3usB~_*5D{%YgG{?c^I32qv<~orTJ9Y+x3zYNsFA zT;f@mtOI)40%TyGf^fX*;TyoC;_0*?U`VM=vxfy(h?1cBt|_`qQf|j#%Zzl$m1*2C zW(pPP1m{g4U5Zkio5M0_?T(gLt(1WbeQiV<5}-kD zltJQJNP9l*iXt2mzOIYtW)8%W(%mBw>KQf)M&aCE7Ah{;YpJ3-M@xq>T6zSBgbm*w zuE-xCxIUx?I|-xmzD&RI#ft&XH75ug0LX!mPg{N6gr|NRcXoQH+4PBN>x&9`$~G`n z_)v)!KT5`>Vy3GASWV`M^SQGnxbc8E(79*#`LW#g=DEn}OdS648JM!}$MO?Kq%t-= zBeVbSv>6(2ny2r>grv0nOIC0F-iY6AU5tJm%=4;5s=Z*j1|`0IbbR!to!FSaH*5ki z<`x~(<5piU!BcO>IOz*$DHCk1hnK~2>vu+?5VyTlmXFLIc2I|W3fD`--=u9oSR~#L zCs3MrNVqgLs&L2uBcl-R&jeV%D)&LK#g8IQQ$`21z^bqKNr<1Nr8f#gi$ICcMJtc7 zfmf;m*$m$y>DEd{x4hTwDD3la2@H`FQ$?lr6enFGU9r3>JIUUuR*iUdG<ncBdJ@S94zYX3R(oR`Fq+iZXybl^fMZIlV zR9|ht%kNBLx7A&V5hUCWch=#(D(uk75-c7pO&{u=Jq*lAz{y|PvOpA<@5~5GyBCAS zv<7ebh_!K7B5_~~I%cA2zy82%@;1cXif{Y(vjipQm25l`(*U^5 zvrp|m52h7I(T%JiGr@Q)Gb3UkQ0q%CWNsJlgW%Q6Q*hx2G@3~OQlG{99I>(HcK@v! zP#CQj$pj>?k|`DgB`R2_fE9R0L64S1Z49+0aD-64=e*1yjEkX<5NUI8A!#%wJtA%W z09*%yfe{BImv)(bahbC%1m|g43(?k%j6-N4i~bx=EIolnZiVdNrWzOQxVUk&j1w^i z*_KlRYs> zHQnuJ*&7UOF`7P5jMEpR-Tw5&Y6nuxn}UNc#cA>L8Dxp0y)RS|O2J-z@EUx?5u^KS zF;BtwiNf8Z(ageLGdhRB_%E-oEnoNjD17+O5E!niy8s-_#ZN=vHw=ebz6@+18Zl85 zZD{mkUx)f~mDW|b^n34$Iz@h%B}#$MeA>GAzLG?rI(vdIna1g~6`_9C^C1+x%AKLO z+UtcahF`kYk?qz3qYxArzHhcf%?bj!E8T4Y#zQ zw{ssd<3ah%SmSTY{buZD+zPvTPB2?K8`pn5x6?kH{ILdme~Gy(01HLFaoSq@H1@`T zzsPnLpfs4ChL&XFFHXlbBOin$vD;eX{kJOzn!0$$snPOeWyv)nlNj=%u#=n0qhev{ z3+O8x5jsWgDUeAXaPVHV=7+Ew*!J0|^_=aXD5TvNkRnF}Jbe*YI*uQ*;pC`!UmQ(M zz9E=wJ!~5iEQgJ0XO3*w5wxi#la$;kxa)Afd`VgM+<1YNzq$`&6 z+(4X@vW&)1&zeSaO&$OD8<_zQDXC;7cMtr`)6gqIQFw8Y>_xfA-$81!GK*s4WML_L zpG@J$v1)`1dbmM`u`zz|;Ae#}I>t-?O)M40D-vMQU;+n3vA36LqaQ={)1!K@UZ>#h zDh>X-snOhgId{|rYF)Z!UX3+{o}X{Fi8`VCkMGE^TDAAHz(Yi zqU#-W93e|?MjjEM6m?G+(}C{~&wRxF0(K^!4; zT&&2F-#}1b0L2D2{7R(;Y&`&9UOC4HJqC4l!n}7xq=3m*N}xQ5S}m6LI|Q5+(1}sNSe}Ku<&wo&=EVn1{}g~22vAl36dO7D-`cViv4tl7$Qyl z94)zWy?t|Ij`|O6D7tw#eHZcbS)RKvOZxSu#}@sb8t zm^#fdR)ZnyFgpw{5w*PBbQU3R5iIYOld4^u#K_tk$B{u@0(=C z4o{yhuQ89w(W|747s(x>)$!{ODsw=n&B%eYsqom;G++TgN)fw6iabe0bw+w~Eg_XurWFl|lNhrW>Rbt&s+MZs8l8T^2m z35q^FfbZD=aW?sDY>!_88GmFyPMa-Ns-*?D^84>z4BfY`iGp%fc1(TO8TYIo%D$PH%SFnrg(ADOASFk)e+Cb%=fqDbrKzAOH@Bryi zw|ck8|5~*&@jTWF{U;%TG>b|am)sHN(Kk=B(lG!E(fWe1B_%=^EqXZoHgID=U%Vh2p zM!BvDcD^xfwS8GKP@%lv*(0X${o!yZD}&xYVS@qN9sd))@B9SrFnA~K0$N2Hvb-%| zw>1p04;(fAb|}otuPl7@?H+?f`a+GNopRy~FIQJEib+lNLzqJyd2y$$8gY!jE@f~U z=;DOnK{TC{zpxUtreso4eE08i5`8}oCFPYFxdZJoq!s|WRg z-`s6)N!T9T>Q$5m1-GNsNUeR$oOp)f*13@`QQDt?n>qF-S2#Pl2r#%?0Pxl72 z8Rxwe^~ZAdh8&5R^M2EN@LC*m-VCI&%t@+Ja69Exz&+SB8U@m*(msCwOS2ED-EFahw>~ zb(t2*|i(Y6I823RE z;_WkoKvIl^!Qf!Dib)NOp$=Wmz+ek8!#oR$g(AHN^%P%j6>*Z3b3SYzc42V4sa*b zOCmp-Oe#1e9Lo+fD|KwZHNS^8`gz3Bo6QA+sZV(5jSEY`&Xc+FSOhxa+x8$R6VuWN zY2>rdWSa^W`D1g`iK)MlLD1>)m2SNCeQ5FgQ8_)~q9Wl|BQL*Y8}|*41JWXqM#M>E zICUDv1N}((l=eed7v^-G#|CFl3?HR$1JM1Ek1XWBLFH??#l*|E1Ji?dARi zlRkh{>A_uYu7IZxR+^o1B4_>-Kb%BHTE2}|r~e^gKg?T;gou{0nQNbTI#}6r6eYtV zP$G1u%tx>=J4tyAA5vtH)MKl|%gvA0LWkW}^o~|{qm3)?k(&LlrYYw{b%$-whP!uM z78cKNQeTNy%+zgxduA22wRHB1+;kN#K%B%qdi(A&YKvGx`*C^NVsEb$nOqu(w8V%= z&y@X+N#xgd$R4n@$&)3XRZGRRvN9Zp_g?@>{v#UW{|~i$`EO#AJi7zkYcT|lI2kRc zu(Rjr(na-g_#8P`79E~Bswf>uS%Ct3pfGX~I3nb^R60^;YU?srx?Fu6<^wWfPASQ$ zts6PbK~8VeTI-r%W$xXjnV>bQi)az&?!Bb^=*Zra7ZDDP=mO;OTwR9t;nR#di|-5V zM89XRPWJ#vqz|~#GVgV9x4zWi+lDSktc4z#P%#KCN7tnIR@I1Av2}tHAH_4 zBK|S7qOV2Z9*o%9GvGFIe+#*70uR|rxXRMKb#SaH>(ANsNO)%~kD^AG=owg=+JxsE zM!t6P6Gr9}d_`%_K!z-=imDX1cxac+6~j=?4Ij|B*x+w28U5tIoTH<&Pu zZ;D!$5XEYSVSdfJN_LJ;Y#TZMak9M4T|{cId26bt7bzBHaS(7yEN_7MRzOk0Am+i6 z<`d(*ehSi+CA=}`@ieUhj`~`FdT;`aaxYCP`a6i4qSY`!->6=iXhn4v2y_8z2(c9X zAOIdES?R62hRErt{t3x}ZHpcm;6@PGv}DPq6e`S6V76fC4G!RDmu2j4W_O8 z%pR!Hj0EPM?;&pTG6`xIKsWe^N_1#DmXB|Z=8L&PYGz-6%#Ql)n>D%Z$OQ1?k_HKe zLQ6jiqDr!T4MCRo$R&KED~PXv(%p)fS1}F>@N@^(aaj+jj_;9uq|-5Nb;m`kE0XPU z9jk+N(^FtR(_&mmBD(_V>p>(Jq6x&bHI6Dv1{WXA?!61M)X(9%w0qB(miXDAe%~`_ zfFJzvqMJQjb2eTLCYPVOBAmIUEcMpE!(C?kuXa-TJ5x^zxuPwo{2hu9s=Hw!$ZKQ! zCgFc5Nqw%0Pr)9xyh%7+JG8)@`0DRq^Kh?m4y8~=uZ0FaD(C|~o_@8Vh_ezQmy@HM z0OYRx?Xw~coS&7$)zpWm3QzX%sYo3id6JcmoLT`2QTu^1u!~lXy@8wOl!x|ulGJ10 z8H!pTuf=}a>ac*cZ`j6RHFg#Y04tx}VV8dYgM7Djci6c4bvCN&$E0UR zJPh8MX$@<|F#$1dqmu6oP08W$c)2wT1s!d+t6e9D9(D(1RGJ{P2EK(!IBvQV!j6Ag z1kEkp*6S`5G9z zji_tQSljPdEQ(glLYk~CLcIcTCu!WAhv??fHeh43QQ>la5(qzglQ>|s+yhXqpIt)r zet3%MEgJHS0<68EiW4l$2CEz!!NN=F9pdLOHj+3YT>vR>x39)#6Fe?=2D?SzkHOuR zLRjBYa)S_an;~uL3B=jWkT>_6_bU-qNDHlESQ3khhn4(Lteb&wDL6%;!@tlTP}(v( zrbgZ%Kt?l(2v&yh90b_^Dt5%PVdq*DeJU-Y_!4Yd^(}4^_H0ue3{z{sz?zctah(`$ zz3Q}Cqj8+J#K#L7OVNqb>Pmv6)Q%sNQCY+-El3*`&=4iGgSbRCqn^=9*@EGMB7n6pv@T_1fF43V ziG}ZJ@QaATwt}}uApJz>51&CWGpaQj)?TiG=$eO{i z{!trY3&`SF3KsMxolB_!wLiEaX%T~L+hT$}OtKZKA$V8d;mY@?r($qG(xgIHN#hzD zLiv_SPE*Ebe^}_q0x?+w0FFjGZxC<1&IiFnwfKp_ubt$zNbv2A!g&1wZO{fvUr$fN~bdi-qTN7QbS+xI;#; zOG04*X7Ov`7mTQZS|fGbuV;;{i=ynnavpvyvcdi;QJM~M*}=lA05E(HIRoyJ6WpFc z8h{N*{H5vHfVv7?!K?~rxsDBm@%jQkfxLlT-x&P^_iYwT>AkQ}?@hj|CRBl^#2vHj z8=ydzS`=+8Dgv+#?7MhHfG;s|p3hWusE5g?LyP>V6*Otbp7Z}6@G5RSFYKf{N zH)t8lhH%jl(jZWhiuNcZw#BkNWSd^^=HO8PL{!@#7WI@uTAHX<4_V=ADw&{4(UX1{ z$E|@~vliRjW+=AX(>s~B7a82<{HPEwb4v@;)tP(xZ3+o|v--h>9FAqxPa^^o!R5Hb z(hr@l0TYj!o?No@!8pT<++mbj0EZEoExuAy#P-R@GqCImBn==ZE`lj@7JZdW z%3uN%pE7p3yv@=A4JbJYxOxG47iAa;TRse3KB`e~gW(uJVv1jmx+YRb-#p1mM@B72 zQBoj^&8ZBaiFGMUX@SaSQC*g5a_I?a^;e>XlNkD1q|#2ygt7~J%ph|PY2=uA6e4z9 zh#y5S=a}w=DQQLz!pB!|iy14ksQ48^1@EUK(ROA%rcVib_eP#4wz&jHqbhih*4Qen zZ9OvYcUy&71jxkz&?YcCr+G2AhfIn(2Rx5@LN_+0v?6Z=E>k;tSM50?q;$6 zTST^oQuS@@xyxD*$u&F@5|eqx6mTKO@KpMDK7E-l5UYv5o5P$T_8Be}j-L4BXv znB|8Jid2k!-hXc;OiID4<*bg3=;P>&AHuKYH02Sna$Pl-PD=W-9VE2zyB0?o(;_nn zelgAoR(?iTa-pbfMwJEXTBoFMnj83e}0mDB>IQTExyg@ zNCLqp{23#y z%g>i^;lCq2tHaote_fgvCCX#xIcJk;nY{dAv>EV7pm9V4{^ ze(hBFJk#mJ;7p`xpXZw_`nDtWMIlx(Y@n4#*}!enCM?I!pUmX){^7{Jzq~B=RdqQh zl(|pg8;QSgKmxtu3|x!0TduVSh7Zh~S)Q{a*C5yb5!-1uFV&*hTp}nA&Ng-#6Tj~A zCEPVIlJ0}&;7pw%LaNdC)|H{_|@}(BecKyvOoba}nIV?K4 z>-M1oSOvN!afA9@Q>=hD|>8fQo_@*)7m2L@8P$A8>MJJF6fr}-wsskkA;yv7UZqO#b>B6 z^bl78QHNY&27y|mT)5}Zw@zMBm4d^#NXOxzA-e*+qvHcnzUr)Y06Q^ASwp>>1@cnD zC~FX-Bze$eLKHUj`wL=G0-8QR@-$-beI65%F5hB3?D+MX63$Iy1}T;~_7**La60!7 zfSQwo_=p|i2g?GR0sL7w9ex%8ma-*;v%uj3foX9OEi_o#9YX`!@N0jTixP#P9s`Ks zrplNSqlaZ`9xrmNV6r7EEieH*A`OY=hs8NK!e&BNA?*OSDWxlWv1b=g!zk=-4zjgzR?Nn;*7=Y5`p*n#FJ|m71G`eG;$)<1@_FFs`c44 zQW-tCwGK2}^sx9Tnq3qgeDqEn-`fnQcEW)G;aIM;N##O}4YZw~=g{C2gTgn8C1 z3>SxsH*0_u{M_0vNb-ENP}@^4@pHXg>oL=64oFF08`dDtFmuz?Kzol7unmE>dNQF1Hl zw|&O6aD_`T*q@Xl`4wE?#g|hYeu`gs8M|;;n>*Bg>yMgp`x{OUg3n-^G0$5VUR)E) zL#KB~!R^nC8F3%Q(ERI|h7AN%eNKymL;zckv`pY8RMB5MHB8og5Tf`=TcqIZn*~ST zE^56|h*|&^HKHSBq?H46=IF>VXfFb8&4~VWIk30f8{DyrpZ>#I?F5>(sh`-TM7P`7 z$%}Bn<$sQdJ+q8e+&M{}`Y2TL#B()?_Ma~EhpL)fJ!@paV7*qrT`Yc%0SkX$6L;uDr>!ke>M90G+692a&cNu>V9yvBcoYKjg?Et0UG2v6 z!ccEM86HmL!nrSECUIcwho*K_G$d~>)u-zb>T@fW8rG!dF^BwnxjFvwtD5Tm#7yV| z!Q4#cTwEXWTIWgwWW&gwX&IeI#|&uKZsnX95GBLt=yxC`*Pn}Kafcr+bL?%!y~FI; zk3uwh2pvJIq;*bPcYb--pcfmY4B95MpMeJPq~hId7b)w-&jq9zvB*nk&TR%VH33;y za0kQ^-$j!NZsZV9ocZvI<0s6Ouwck4F4|9o0x%BOhoDHSbV#s{NRD!bp9Y=< zhA>xmk(!bfL~{nSsyPO*SZqG*>WbFWp$JJir6?|a{H&W;Yw!^HZ!lsELAbVirqjv>#Hxn zeZI)aa~YRaTQDIgjfRBS)p4$Z!`||ztmhaC#MLw!5&FW$q^lGhC9=Z?9>&a-t7>#KPbvX zKTrlbloA%?pBi*`oX3|G$TtN|VCCkfm0SD-&>xg#4b~R=-f#%(23JCQX#3L9g^vdL zrXSEiM3Un9`#~T;$0=4ps@6ugnT;7qLTf}X&vlG>wx-+gD@CxCBO%<^a$fR z!~&;})`?@p(aE9tiwkyhc5ZX;fqcDYliQ$}GqI|31Xa~xPi`gs?*yUcY{ zhjGjg)7Hs*zEw`*8!teRTZ!WMlc=Cy!}n4yMw_ZUIR~I(3IJ`uP81cXtLwm2xirq4 z7e=ExF*C_&Ys8o1sAQ&8&Eqh44r5qzx>WUM#rDHYoFEmx7-X@J^P%R-ND^lIr34g- zGC`E9rKJ!c3rnQ`zTHk?n2QTPPysN%+4o*9#STT`<>z6!={G`2{`%x>+WP%ysX09y zL`l7bcK6hYi~jX#;uJR#eDi}-(jYYuEdZmaZ9_Qvx;Gv3xD;Q*-xRg5>)n+|ZajjX z^bIG^mY#ZZjCHLnZ}(q{_ievrOZd#z^yMS2Db3?$@=Siae+@6I(`)zV#V2j zn?gDLun8Z$Vlte?`)l#+f5q_TGOC^otV7Z?!+zSD7A>SD7y84P-^d&P(D>Kpruj*acHS1xwDBE3z!17VWX!D( zCo*$AhHG!H6qhC8FoI}pT4V>XG8?W5j#o7~Yb*xmo8#1-q?IFH@`lm`aq4$7dG4hRz#VaKA$ozYqa zLkW8=<`(csp*4!#n@Z+DcbRvqj8XtVUUVGwY>LPEY!3LusMy?rCr(o#4J{NbdV-F! zCPkg9XFzXoy@MJ{pfxi{vFuIb1r6zw_71-3VQud*N0Zami-lqmtpyU!z!ELOF%OHK zhLH)JZda|~>W4#?Qb0jC2nkybBAW`MFW?myff`U#Hz>nX^CN1NWeX;ut@_q8CFu5J zxx=wRz=kZ!9Y~<-(6o{4%M*c_x>)>r0%lKX;cWFpc>w}mpn`;)LT8reMz|k-_bii+ z;r@Ae)Ug&USHpr6q&kNL)ENk{6lS^6qz$s&j~%-Ndgv=P#`B;Ck1^cumATuGEC8y@ zyk_%ux&@=!GEpI`RAL1`ur_Th#g@`i8Q=Cemy09#B%~J^OJ5shBe~4S^$h6>K>lBB za6K79QpXOOM``^xY-(b+71LHPAM+|%3V%4HC3cvlJ7sTh6e~=aw&L#mFdRA~4%Iw~ zm00&sbP&^4`)cgAPGj0SEAyy-MVcjBs%^A!ieIVBQ;|Mb$EATeDJ~g6X-Uq(Yy-Qk z9&}g~H_0EA=T4QzDweA;Z3V9xDaAd`SfueX6Im&Soyirh80#1@vh??ig@wG$^oP7< z^+Xw!D3+E%zye8sWh}Obs=`CUI%=o)E&aY+a8V2Q!d`w}T;_c&dq1!k2i$F~e+NzR z*kmO0e=uq)H@r4pdH_pHibKNkUQAmX-&?C$eYxMM_k?ooN$Gc{T!!iseiTBOK6}w0 zAmt%pxgpHAHzC@1~==zmj!a~N1e20u`|5?tr$lQ z0<1S(a)R43A_Clv-#L{D%_>@z6t!8nS2r4-r*IzjV5 z;C7$)8e@sU(Qsb?+w<(ZYO`GKXKva6QY@#D96Z_8TdxGA>qli0yR9k4KVprz{xb5m zGK$+?@cM`obyg<7j1{XkmE*N2Zda8sq4uC-Z@x$d3h`FLTD`g#*4S7q-Nhx902+H0 zZlG)7U_FXjT^dkuh$dL}VWfgKG0;UwbqM0tY502OU=brc2X;q~z5reZ1KI}Ytfe4} z0kVWe{Hji&EO=l`2~NcifHeqF4Z#N~^X0qli4<2?;%^2SO9WY;6VTpLSfk&AtoHCf zQE!|NRc1Owj;i5|bGW{8u47PZDaGn6FpxxpH&`|0co8cOQ;pe%yc0jpg9d>~DLL%a zQ;qabg zdi%lV0hvHCu#&OVcHmFdQrlYYb`x@=sm>PocsdK$F`VfY?xVbNQmtv z=2mejC|1o#;Sn{ytr|mf7dicHrMdKK<$yOOfCiS`>YWQq2EOR)ms|_)UJ?#`Muzw(sudbXUWsB-*KUcxYg`34J z?85oZ%g(Vk9$XyOtRj=<=Ag(#{M`=O+6-?PttkVr!hrOgtBngjJ#K=X(eye=>Wmzg zQ*eLrFJXh>IV73FE(FNOe)byN_4IwQj@Za0!P4NlN(ykE4oibHH;7ajLZMq)Hbq%t zNC%42-5u~rTs4+o8yZuLaij0mA@J)%D=)v21zU6o zV~-sO4Y)0{Pno)R_|=oC@PG*YwABacjacx<*|m~U1OX-m+wUIyDlRKpt2i4mK6oxRi@5f{sxus_ zP*b24s2;_H{rj@70KC;O2Lnl5>F9#8Yu?v+r?(avPKz+MHry4G(?X0BLI^2r!>w&K zSa)bn$jl;H!Xwx{<9+c;B1TmevY`TJEnZR%&^fUFI@H63ylo@K=Fo_jH*_}&)Hz&4 zQU^_()QBe&iSNU^tt>VH1BGi|o-Mju$8mQJ-V2X~4&Q-B@E~jbJja+I<|6Xb4>}4^ zKeR!^SXc{SOF3@f2bpq*n}Dmm7apUf-yCpZRmg9E0e7qV+09Ls5IJb54e<&kHmCAja_zJ3tBW`!%-vRf$Feb~V*SD4)?tfgm~5%eip%gZZI#)h zmt&i4yXeB+rrh?1ZT@?YXe+o`_sTSHt?}VdN+UQO_ZG`NLjNh@{wx_9YWlrxfAx>| zpusi(Kt;C&xX~vQX<9H?jE)5b==}lI{p_Kg0J8G{32oq(hxL3~+=bIc%3>)1Llk~( ze5U?^O)H%gn;An*Ehauzp*8 zcD^UKwE)GmRU}!YPg`IR)wU@Keq&{33DZ^$W^b90YO6UbU;RWnLPnWGu||}Y|IF`y8&FJvj})*wZr zeWMiwI_4>bJ7r-aa25{5v6p15UA}Zwtlr2L&^#_ck+03&Tb^&&8F}O`|bK*D8!H|4TjJpe`%NNdO_2Fl`pF#c4d@?5hu=JO;3_42Eh}YkRXuNKJe-%Y9D|`HbUgs{Fl(n zPa+u!-jqwIs%l=X$224Zu&`99FX1!Ld0N!TzdxiiFXxepMN>?#KevuhpXd(vM(gIa zbtB$jK6VYQZ3Tyit62rfnk|R3CvIFJPb84%5*!As5I+Q3G7m!PVOi`!1X;*pFmaSN z%PJJ;hf$R=F;CqhXM{DR@8Y#I3X+gPEn~B`$X~1|#0HYb8!VAIvlsr^+FBNC*<(ZD zNW3LsjBd*xzolnOMgSG(KhUVRLpMLbIt9913x6^57~8mF`I6e^U>d2v zBj@z%uq1~fLvU+d#v;B@r7UO1#7*(7VNdC$W*k#43KQ?oFs@-aYI&{|iSBVn<<2E2 zYsa#az~LIuspu=MDd_lzSR=sa`q2AL%lzS=tX#MF_^g@4wDmSjTcKE}e4sQwY@`#< zfwP#&X*3lhQjYGh8qBn~-Ye;s$Y^;wpSD85hKtjSQGmUN(xOEm8|MC?2u?l@F8$HA zKGYVG)LJhLq?PTnGMpvd(lSk>AIxQlh|M|}J5qqOKeGp;%u5YEee+6*9-dR|R?UcD z0weVwq0$D|#8|#9h0*0Wsr&FZaFr#et$4T9zGG%6x`6x(ptb866NVXEalnS%F1<^6 zsZ*c^>jlyEte$|U&d@Y(E4Ye@w0GfmaOmSsr<|C%RrCf(a&!)H`+=R8_bN z!R{Cd6xIZ|(Wfoa&Lnq7>W!kHT?kzX$R((V#;WG<%enD>g(7IJ<;5q9yRBF?nz&Aa z`hSrt^yD2&TE0wLGtXh(a^`0uTXDhiZ67{&DQL{#1m~_##F9}CI?$rZr{cBw))Mzb5eHuqsQ z_YlafsUJwE(z?AdJARY;4tc3Fof5u zv+dY+E<8?eBTDc}3gY#KK`Hg7@PdX+9}o2eQ$`4C=HDPP>P*=plf2Qg#zg_U6NMeE zLg*BWdn+tZ2%cqej8B2#C&9&R4L%6qYXcr0)GD6&R0VqgbE!Wz%$b@TI1u;1-PWD1l|3eQ$As-$q);L4a?}uUR@?;g=fbAv>e54HR;=oX zm{DfEx=Y-Hh#;d-C*lAC3uo52d5>O3C(c+o#kx)A`KdE|5k^yg`fouef&ZFX!K9iZ zHe99|7k(95Pigh7fN4DTs@z9@k-;7hKRupEX&jUz9Q*EoR6q^*jN(^^j>esXjY?cW z1q<0CLf06ipr6Z*aW3#=C&#t((Xz1&FMvA2AzN@?13E0748XKCX!s>Au%7a}aAfL~ z2p(k_4@*>ohMB$Z0Cg4z?GQ=7!AD;}NqH%QpC0r}pl%Xa@qPv}!;uq6QdyNMVrOv% zEp`~7@(a8HG*gcp#RcOrUL+_?*;>8^NfAX<09`1DgKBBTiNTyyTG2Pum0~AW z?hKZ9ets%4*lkr=#)=}}ZHjCppW-JG!qu6OS2F!?e%cy^vxr;>c5F2(5?!c?8OM=0 zvC$nE4b99H|1Us&7Km-9@RF<9AUc zkM;_Df&5!1M3;G2Qefe*w0MJ--jJ>H0wKbWTbE`!PCoxgbLNp%T*)-_a7)KN7@K6d zWH5ojl{t^10teUB#mP)4!Rw4xTY>1ip-Sww+V^a4=3QNHo(KsoH&qSN>)B?w*lmsN z(YvK(#%4HewfOE%WS;t@-^EjX0%KpFxBomGnbM9K`Rm`>FRuA|k^9b2)xHd~zX~JgBmWc&!Ui>^amD#k`t7K4pt2A;PFusv(A(Dk+&Cr4 zBIusBX4rE(aWV}P-$YJn$?UnIeC5;E+96)ablx3lnN#Mz`W=Z6%P&X4Etko73zIFm z=d**nAcFA%v@%usek3(=BrSlYfq*r2ZS>h7^kYGBpj`-E3CL0=S{SVd0?R@N-0JQ2 z@c$B4%!MF+-B_K|R&nRUab#*%+|H;a#-a>h4G0Prbwju``T`zux~$j{IfCA>oor< zw17lfOWxAoaGR%iMG-BAQN|!m0*6Dw@R)_u%|h5HS}7PLle>d)JePXF&LU9+AmH%7 zScJE=;oy2)KwWGG$4oCoTZ(%~ZxP3O7+VZ&gNM-+xSTp<+YG7qM8qoA+85z7=HG42 zQ0DxqP>$d886fgHaPPp_*C5UJosL43@WZ>=2pbGzu^2F|WhmWkC6S~GW3HD7*73+n z;|5OjWanSTKt5PLH3|CY-Ym-9jA44It@URiy*E; z#1;Z4Cl8sd(Zvl4yL0M{kg`=Dm-bow9NtRyI^^**=iq~3nOHoOS>$90jyx{#=_rB~ zAT)?nT5xM}f}1*Z_@x{vkq#fbhPqx-U6GJJz~(3n=?6@iBSL^*TZ8o2%-?zcenxqH zVj3QM1>#lOZb_`zQrT~9r+Gz)j9M5&5O_rux_0n!oU&s27zk=PLi@ucrZ3tp0}8T^ zrl1*MPZerwSSYV_8uAzcvqrYO_8`l1D3dOhmRP1E)q@1d;E`lmR?-V4?=M80= z_eQ%GJh|2%Ukpnzyn?GLqUf+C%;m&b%o`~rxd1o%v_;yM)P_jCQOKh|yn9^&Nb3Zk z&Wx-F7TgyWypqJG2t>4aC`pEJ037qifJ}ffM+;p(Y4R*kko8njj*gB3+AsC}WTM6`JV zNDDqbrF?b8mm%GAi}^ROZ<5wq?~z?bNnu>;^xX==&?a4 zdtDY|d;vqExCPgjJ9k@VvtT}gL!5vy>kXi!s-}NGK+0$Oh5x*Sxpf)Y0i8iuN6`X~ zRcoOe{ctq;K4a@ER|4}a%wNi}j;{QHN|^j#x+Hhv{z17fl%r!ZukW0RW#6uK1UGkL z0RACv{-9}CgHSFUL+gkw7D;L%%L>a5zHvE&G!f47O`F7bV!~w~Lk*obIM0oh&Bb&A zmSXiY&0U|8=qRojd5J;R9&MH?M^6^stcRep7?dc?rlqBclYKZ&UH!qhS&`7mgO_0C z;Ya5L!8yN!$-xc_jn74L`GZnl{J(cdc-r&$#$F3AZhUz}rmAlcr}J0fg*Q^_4}m6_ zAaH78iI}P_iXU#O4>+ZYW5@fap31ZD~_>H~*FB z!-F;vC+!ot>UkU-$mPW5o!t3!`1yd1K5dbNxI; zb`t%%8uzKIGszV2ZSW?UT(+7apV2_pc(`!e&Oqaj2CCIzEOT-H=vBzrE)*-~etzaY ziLZV?u0j3c$?mz8VJl;{QxF-o%^u;aQO^~$aybD>iP)vCjXoQMek>@YUkF_ZAiFJu zp;h##P^)_gT39KwK(pJv7Kx7R&H=C|%r=dBEcty3=~bG=z1mX+>p80wg(H zK+u4-6=W(#6cPG>?GyGh6vX=P0avHkR6fp&J#aFQp3+%{auz`;5+-;sj1!?~8VjBEmfWPFg#$%JHi4+9CDdN8%UFqzUrIF^Q=M@# zIqTrXPn*=CD;Y8?Zvu?Dir8FnT~BjNSznu0R&*4o`40Xlfs(l{$28vr+Z=rGtOn1`z3yNvIy zR9zeEEGSf{=PSVNW;7|IVRQJv2Z28@)J;PUT)oBBabWJphUh%HHTHFnN@ZU#e|yNokUd}Z#u517C$zah5o zDdX_)b7CHP%_P6NT?lneUb&7fQhsqVXI2udE}T+bxY%A{Z1|2*C~tnqI8qFFu_&H8 zhcVml{i2hbaaEpPyM5C!H6v_*VDDXvXuQD+|DG06m}x57;pYR)2A{S_yCl^WsW%GA z7QzNvc??TSM}m~@LU6>$Gs&d@hb&HAi;?H*@Wd6T;9~U)kD8A(U)^*I~ zZ-i`b7z%^E?==nk{1DWMkxTSum4(%TrWsmUok6GBW}Brly54~;C~TiF7O%~@=N^0w zlz+sD1;%)Dhi2lx4~=OYYW4oo*b0)IK-l>2J7hYr-M1{=itK&D2!1Q#BLsA zsLw}ef6Y#O_F^<1t=%;*!2$-R@-}ptMyn0p1A*yA!6cr39d(6u8p~alQ!` zrlb8)2#$>_pB_|pLHJGhqLZg;#bBu z<(c@oaT*EJR*cm-kLFi+c*t%rU~JS>-N1UV=r2B&-7=Oc+$u-Kz<22XfA-!6zLKlD z6FgO~q%Nu3?UF3pa>pN6vf9YT1_35xz=0!K2H|zWvuT4vAbEBS;}FlR!$;yQ2^`5X z79Sykb&^hg%*nI$t!W|BO2oUFrmHl2*gGP7h?$&XOe!8IKcS|NmQ6 zPp{rb)l;{+-6V6QS9R|_=bm%!xgT}E>efGl6~K9ux#_3mecec3hlD_-P+Sz|5BII& zi@t7D96tJ10enzJhD#T;5FDhj6Jxg1{0`-3J||qFBNihE=WsDjfXu=%94O~hSU^uR zFs4-A+oM%lp1XoQZC6;qi_vJopLxbmUvh@YGAaYcr$&2+@?zK;z0b83@E$dO%>ZUy zPqo4}iBV~&3?UYT=6Xb9GojpqP+(@6ahzX*%xy?#k?}ytMJxbooRb;?%+s)#@=;O- zUUnJ5F5_$fzX~-6#Oe(upO&nu99nx&mT@SO%}?OdHZ!o@=dkA_h7q5+2A+3@aie)z zG>86EGV;)5Jm2E5^$Uu*zY$#;Mw=SeTzci#Bds+?F&nWF9K~rbC`f0b*?w*Ml0 z9(sOO8gmnYL9_Xxsuy`|yj7$zGKn{$;mI2$BpQVh zkbr+IS%P%m3t*+sqnmTXMcAeAc3WKLT4@zPtUugVa@$%KV_ySew`vmYz1h;&=6-q9 z`O{t;D3Y0MwN?LVP4ur(Q|li#X-%D*P9A1F2H)n9v`=#7mL$2I;57OR+0`4;WAvSn zkbfyav!VhNx0`^~O@~s9#m(j#`b&X(6$X+oVp$4S4>r;J)kZGPu#3V_dx9Hg9}nk4 zH-Xhw<60>mzm*X8`=ADPE=2(lioS1fEzXNEd?qP8z&$MO z+QMRrufE1|*$fKRHrTfFGaCt zI%}Ab#Skq7<9X<}cAw+2yLS1Xb#Ku~mFhtM&Q{#}TCkmY>&YVikc(i-DS<~P;0Dl` z9%yGQnK6GHwv{H>{)y3sVW^Yh2VNC96PriF*#Uv9PjL`>i&%)6gFrBIX^*60si{k8 z$IRc+e`-wQODeOkhF5)1=>>|nFii7mml76jQcAiz$hJv`V^>Y?ij0_K%p4hR$jliB z=O#KYbJwA5Mq-g=*nR|z9OA_kXIuB=O@KOu}YDdO{W)MUYGzvJ+UNlT~-o#>` zb8yG5lZsMP;0_MdY_qf)=fM^C2JvB|qIOqje1(`Uw08OXd4qm|1H!6k+nd@8@gshz zL>=tbLi@%keC&m28ED3ZA34IIAQK9UE1Kk}35R?NpEg%?oPbvSASp}1zx1Za#|&KV z;Kxw_FZ1kW;py7a zTC)i`57(^LeBSzM7oYxKhv1+Nrt|0mo>t)cYoop)!Zl4cv)Jev28=`zevS^FywNhA zBpKWvVg>bs&!Fr3D0&$m-)}Olp)`K|5?Oig`DmuDMK|g4pT#8OwRgvH?Eo?gvk`|E z&mC=&Q56`@(Tdw*VQa!wEseM`IQBs|C-YBT8_JK5yZG?Gl|Z3im7N+0`4; zWAvSnkbedEF2$rHRflE+BC!CKL5nOpAeO^{jj$W?OF{F!Pev}f^Tl&BGBxs4^muHq z=CE~@Vt<3p=N2r_5oLJCd~32%b5j_$&P%kOfT|q4STyt70A3zGC)3eWsP|al1g^5>U+rX7kTOXZToB~>QMA{q!1j%!<@)FGJzeu!*Mxy;gnir;Ajsb(in zAsEu84g8(MC0b&xHPSaK1mj5I(yKEN;p^ofFrYCbwIFk_d=y|P#6i(e-}uUxj+k|V z2Q$Hf1@4C9pD8%{Nr^>VJrRx>$Z`+E>KW((X~fA*%efe< z)7R?soUpsP0PD64M<=Eh+F*3$ESA&Y)V#<^7fB%az#Fqdv}UZjh~N+iR~{>k)aM%F zllBI3nH9!JFLXd+gaWf5%VxyDq^^P6EbA~bf5~u{QT3*VHV)0c@vM*r!>hnRrr1xa z0eGKPMSTkKZYQ#;idGY34y258QGF($fUA~J>%rel5{P(RQZi-(ytdZRmR{qu) ztsAhU-Edgxp3#1nUKF6S)O`qALi~~99lP<9=}7$ z2>uUT?K9(anTZq&{66So#wiKvY53f9iqa$af^i%>S)0IS7 zVNiSEUZeN+*A@|u`}?AG7dITxe$K+=#XpkE{tVJC&B$X7CTM-Etpk;$h~#z6)2K7)egy#5H;X=pyu$?C1hcm*^5C;%$NVwqs1zhM~$zD)3#<@?e z0(@JL1P_Nd;n|H|Uj_LoL{qbq3@kO{n6Iap6k~3d~hPQBDF&ZXwiLj`fVLSv9=+h>7DJ10r*5+K`B>+F*gh z;({zCcVLrnBM&yztY#`?YLkJ+%Q$mB)Bt;Kyi!AH5wsXfY#x`n4O~teC>o+vt?DMx z4UBI+nDOlpR7&Rh68@$_hmA+5V17cu={8Y`Ys)!wjryCF!`24S_)mdZKmnYZxF!w4 z3IrSuCD6(9{HP@zFsImoS6@;Msw+t@_TGWSrxXEIf>R@qhU*0F^q5P15ez;e3wRD2 z13s7<%)99y1U3kP@O<(~J83w-MacU_4GnNu6jXH56k7)dSv(x<2Py0S_axYGT4y$N z-PHCF=FkB&meGM%s^n;RA-cRNxT|F0j$qRM;(hq$!pGcaKxX*Z(U3(h#(T|&aQIwK z-T+gVGDa(V65?CH%m$0eQCCn^THxRAF(C#RTzGZR@D1`~91_Ey_2ggEc$8hsAE&;*>8nM-H zNpRKJzWLW=?bj0aXrr>kbLVR?zx7o#ke6X^=Yo$hOmlKxu$;1%EIU)Nz7Ap7iY*q{ z^f^n*6LI7XZsz)}nwwa=Djg23@=5!dEvJod?!lJ1*U0Gfp-R)yETK$)UYz2C?s5QK zt-Q)o2#b;82O2<62uY0?%5ik`kgzvv#PpDKH+&>2K%xn%O@{)xW~6ew6r?er3=Bvm z0n^gf9PUmT&Le_-)AE@s1Gn&0U>vsED)$rr*^I=^X&j#O-TfwqzngI*$8x|lRr9(y z`1s4Yw3k@}_xLLk`eAGSa`Js-gJEmD{k_MxV@8WgoNBmftvO38W^K9&j%2(6r?bpJF+`P zb($)0Q%x?z-G#tb4THtQfxdZb0n-6$b-DW2l0VgtMV_6H*~P8kRVD#NU}5h0e!${= zsDLebzndD75y$cDHelF#Gls3sGspJjG+FNX*q!#17GNJS99MX=Y{%*6QiopG78W*d z!|d|k_M>>#!fYp!E3?(fVqbnP5A`h)JUZ$hNS@&$41;`OZ|TJtwqA+}Igo8EgKUoR zj&*dI=^qRc-*qG)OH**6r43FVklsC2&Q=-bFg^;;!BkZfnyjNpau;0%gbIR&QxuOi z)Y}T`Q;E*aJe*9fjqV;mwqp)patTH>OhO?K6je+qgZZ&Q$B=;2(WKFp6&5%y-qb?P zYNY4&sG!9jO&=1-bBA#b;C2!7qaMr_A=fu@JaGd6AHMVL)?u_GNox7)$4x&%R= zp&_ja<>bh#0bp6U`a4SawXj@DPR95JsO$3#0a8L~3jc?OR;&wM#4RzW7`8SSBvcAn z#cv)zldv%prPELF0-V44i%~u|vQ|Rq$ZEW%q&#bg2&6k<&>=YNM3=!?Rv~DPcqh*B zuKdm7w@F_L7W1C&r>fy_LJV8|bRZ2pjD3AARZL(6w-lAc`vLgFI4N3WwyseOfmG|5 zn!m*RaJde0xSa}+Dw96eeZb%g)ZUL|C_Wl`>cDnel&fTz8m2Ehqt~V62)DC*asPEOM4=j zdl5e8`BWgQ0ZtII55tbXj7`FK&IKk{Oowvw4Kj;J8Zc%#*hK5H4@neW>DpzGD#SmB zo~q3TF@~)!Gm}M+{+^EbVe35yB8l$|Z5gED(S@2EyEhcO8iAMJSS~Na;zj4#C~)5% z3FPfKBAIpq7%xbSI69boqoWYgHYwE{oPo-SJtW4^D(De6D1sY==m^&icjn{^Z#YSq zVzA*Mg;@S{+Vcf$#aeYOYSgUph8%U9spD9T#lSLeKYoOPRry(tn zg8JKqa+k?SGr+Lbd;l(EPhN&$=3C%WY-N?YUP;x$JFk!{S|6Vk!KrGJ;4FfK8BzuH zYeB)))`hb=YeZGF6T|Paq|ITqp>=7i4)D8Pw*A1Sk3q2-+|dkBQ!)3Z&128sI(#lG z?b~rmTngOBkw?8?=)VCE0rNp){c!ubcHb-jNuYo*PB2kSZ&3&e`BjO3xC7mg6&^0cRBcd zE|T2JNrfE1eV)ztn1qvxRv-Qp(8kU#K|5xxFxVL0;04XL;$TgmikA0RUZ$OlD%y!t z2<#k`!$vuJ=h*39k!g~>smM=rrjNGWz+qw^0AmAMysX0Dj*cNA!fNXa(fR5i*A`l4 z@SL1pkkQe$c5&Kdnc;GAs0pNz}(vC5Kya&L%Dq;m0?+We~})Vtpw)ebCJvapILq99IpW+AK_ z%;ZW?&aFj`s4NSUdzYe?98BcVnY>CtT>Nv1+|~@k@lo`o_-f?F`_I7v6C`C{>2_gs2Ha+A^^;z+ks62>Wmf&#f_jNo`F zNXwTzh!_M)1k>U*e*oV>0rbdNcjruwBMX8iBv@_V3XB8qd*`s({tXEE_JNgsk9}uv zY8fSq3eaG0tRGnLM-7NmKXx;o2E!m?2RkH}IW!t*Z++{5*AL%C%?GU@P^M8E*Hd2Fm@bFw@(<%p zHwm{XDa%9Lj0|7?bPJdrM&S7f)E|`b>Dz-jz3Elhzc4AE)(d<#q!FsGVSr=UI?0ru z88dE;s@W2X%G-=s6&x{ulMLX*`~we*3gu_8NPFiGpo``#k;NMf!&Z`3LwtnO$QXGG zI`q}#yF^jnB|ay>CVnuB+%9i9Go=|}6v0Hr2h+i8f}ZOl&Vhm6QwmIwT9-uSphVjN z-zs!cIzBk=Mo9bJR$714mLZ$GDW7G*K|9~*Y6B;d_`+w^QgId|I4pt}SolbtvQL^@ zb$3~(>D?*M5C^y;PBB{(S;Fvu;}M;urK9jXnL*`r$^OX1F2MtDZ?K?<$NE(b;C>B8 z8+;+U12F4^2Q!yprJYIY@e!Vc4pJE&V(>Zx5`bgop!Y?X^ViY~nfgzNBF5aw%GXqz zPCbT@+W#h}K8aAsIJVBGD^1S%sX^#v#| z1;lNwEFpYBXPyMN!O_GIkHT2yErHP?oRO;G5C|1h8lW`%DAwVo;53SE9y(I1wu*o_ zG6|YwI)b#sAW{-j2-9ZxVe5($S3@NSlX5UW8^LL;#ceww3L`p34e+A@dqb3=1+hJm zN=O`ImjN_$#ODeqJvnew*H!qnh()Kd8Vu*ok?>CZ4E+(L$Kkrop^cykJt~*nnRLE& zA+Wd(D;A>g>IbsMQn47g5HwIMz5!8($0!f)=;dW6t7MeV4t|}ny`e2DUI;9Q&ys1x za+vb+c7mJzzb*VEH(+l_+oWxS^h7ElA@5T7Y*17n*Q_+sV5BmI&jR!j>oPUAs{}I; zkl@#%V-&vy{EP?=uN(LY3nSVb7O5&g8v#&W$cv0m6G%1NMP;;ySLAwv7~sDL$O@EN zk~Zk5Y z7@)>QC_|2P0WazY9^M_R5W)3WoCEDB1RXJobrgY}P6&61k&(o7RzV8{d_ULHM*y4g z6evA?jKG1uKDib`^3)+T!q4H?O`@Kgk+O$`Xk)9ZVr$Knqw0A8!w1(@;=v0o3uds2(>`kadqY-QR zTj|9_el#ru1v0!JfG(kF@RYgu(Eco2w?=~a$qQEn?Z{$E9xpo_H?Q)<9z_8F=J5iX z5)b>(E3ZK;y}yKNcTvHKv19Z?13eTwWM7Dazha;cAAO;(BAQo2)(9eG`AV5^p9owH zuT&+Nkl%{;-G-g^tXwmfzh6GMG%~AhKVL}mDlkeZ1pXwDO?POGWLf@ceQy%%y-$+K zrv-~cdpu&=Jr%T8SFu7feQUr+F1oQlC+^N8Ao<_!MVELM$430>kYbx7cjMgSkAYeP z@w~u}(j9)18?ZM-iALId6Jhl9L{f(KBXLAjf+Iz)fYOtJ@m`RYAQGwMO4#ELA zUV!i$)D-Ue+RZ_{V1mI#=3`bkJ+e!`VF9-1oAmq3D(P~&%@^~OSu>LWG8eL3FU z_;yfd39N+8H4JuFu}Jm!wQGX}V z2+cAjP38S5{A`aj0vu9`<#LBPtTisaXmT}qprqit_;HVV76-j9b3W3vhe~`n*in!8eILKOY`TPHcw*vfeTO| zE>33zJMayrAH!B>xL=whmzMGIMgG#4RHc@x>H0@y_U31{PR!AF_M69WxT!IZ{ESd&@2!>h}k6ocGcVVZ@8Y|ydda~eTz z=u@VWc}Xhw-~3@%1i_i7U zD`T7n1T+GRpi-BRmtlZ}%n2iLW*ntELpY;npA&1o0XrFju`s^8h3|9nt^S2yKRc3D zgx>K^d8D<8F$f}setM3P216vDn-X``*H?>T5ATg+?|o_*wr(T%O4Z%>j0f{f+ai9! z(es^5erKj`ix}ukeKyeV{{+)s4T;+a!cU#4vhfxF;Pz3NXh#>BP{_WX5JhNikPgil znnzN4dW`89It(}x6?kQ_jQ4^<8KUgwcPO+ALqfG+QO7G}xV)6O*I(4c%we?pg%-z; zI9eyEEhIK=YZ$KL1<2(YbYBk#k1^5`BUL~!g;|a0@dzgWQWTD6fGIf6iWA+3Sx(~j=FeJ}N-RkJB+wWd4Jp^VfT5>8>9G|sL~ z3W0H76~)>|e8|S+Lz5cX1=t4pt+n|x9>iBw{L#@FeaX!tw7oJuH3OO;RknnB*HGSy zyT|V zThf|uuu8S_0UuPF3q=_aLen@H!`yuvn{pa>RbaS;?oy=(()}GoDAPnc(XPzmc|{A1 z;UiA^!i>Hl#8+L|l2qdsV8nBIWJ6bhP#of|2AjBc0EVqI7`D!WU$&PpM^0me)AGYj zAL1Z)lSRm}CPWz7`o~31U2<!-r4T%x=dlNb=(?0>Oe=tJv%*=81%)$1~1C8(K(paX^2)E38 z#m3k|A)e2BdBTN_0#zyu7DsPBGkU27 z;Y6qlj5-_ytv`>C$TP`OfgIzeShiHc@qPZ~N+M&qX#}@3gMI2G&Ft0>$ejG<-7TDV zlGy6i=*{WGa)Hr6&yh8`S#iiB%gR8a(+fd8S(=qJVqSK3D}zuR*# zWOz)3Vc06Oa6}lFNc^{Oed%Ru$tR~Vd-&B06ZwKhjU_j_*%(r+$omf@@v-mVwJ5Nn zSb}a{BMDYpW%Ax_eUh2Cu3~IVvC88X0e|^xGVc$t3_gT?bMMBnsLx>3RSB?<`x@r3 z7SE9B6-|f0j6~^ks2K@z1(co)jQ36zjZ~#D4s0i@Y}o2% z7^@Ref8~WH^?!;lXdfDpO$=Kb^>M7W9{z6JvYYnI#8W>VOXKb6=pd3ZVktmu;PdGn zGog|)D-2jlML+6H3CDg;Y&k&_i~uu7mqs^zvFJ~!r7C&rIz4} z%#VjNl~0@|7#_QK(KbKzizt-8llIy&A%%i{0vX7p7itzOOeHiy(OI*^RYo};0?q4O zt`uGfy#%YR*aI|nD8L*ost%rT*f#RJW69pHqm49J%6@p%C~c??W`Op#*-7e{Uw0uo z5Du@+wIOO=HYf7uHH|eghHi$l+<{0j%OjyQFOyLWTW5)?gw|Pn7Z0x`Y&{!aTdq`Z z*9h_q^mWs7%}Tw(z-+if=mI0tUlk7H5PtjPVV z0eZfu%T7s;yo_hqjT$qIhC~=>_zevguZ@B>Bt7FZZ|K?HBn%JX;Q)NO+S-tP!7XW6 zu-Jk?he-v3L8nFUsvKpm#w?A*i>Lf1In zcrknl^W{oxNNeB@SU?ycn=|n4z8BpNwYD>jOK-4%2A3Jo1MQX9058?WtkPIJ5>8=O z_Lo5CU$d*@iHKrFV)Y{*(GC;iuK9@U>9faP3?9*^2hu0)5&R)Vb` z1;K}New@Sj&AV;E`!^Fq^{eN&fp;TDv3IBWk5OcD$pgtxA;L;!v3xV5lvG2jO+uKA zEm=A|!cFNeA|40>_s~RC5eHk4pkHBRPtF2c11*GlPRI~U)=T8cTt(b{;CEA7~a?r_h zJUOG3fSF5zNdD%`L~ed!?5Jj>ER2rs+<;L}h!R~i2m%~K^GHfhe-dIUz#It*ys{X^ zdqE*jmSG3p3an8f{%q(hHkK$?6;f-)AdF+!| ze|7&j-6Sl=*j0ehsm5C-N3h2g%D4g86;D=JJMhh zP*y{)xB+o8lMTT7;I65erY!%%0y*ox$u~kA%k;L$Fj`%Rf>mVTPek>2S>=Jhc*<7e z_l`v2&CidHt^H8gVC?FF1I4!Ry(t)zCdEvBhay-FuVdJ%ev>e;fjrlR^3>f9UGGMs z4Fn}MsP*odX+M0PA4%$~|DKQg3b+$^thTEB(KRT!7-ye0K+Sd$te2N#sp$rF2#Jo0 zs-b2fCsI9v1vI=Gp+}50oY;wFar?38WCIB;5q(ujLm9R`&#W){(5S9mP3hm%}n_#M7BlQ060FbHxyu;2^(=!Pcew z%wc;I%2iG0J*MK~0%=Tx7Xf=F{~P2M=qgtM?Stc(#*h=9BsE!1J>c#To@P*A0>89C zOlY{QNsxpikZZT2k}rnnLvy|9m)SZ;SwTYnqYR`P05=f0+RvkptDu^qf`jYCk5XzW zY;LzrrF16^nPuyH^NJ+q3q7Z3x1nojw2jDIR+6X4pb2D9jI2{O#v#50>`(qsEETad zEa)bWb3zZ8T+sC6L&1pT{InH927~h`r-LbS%&D))10*(cjdpbJs(Zu6ZQbHv;(e?^ z*hFM}$i0#^2w>;Aj>n=8>UTkmAMIa+4R6MM#%{yAPs@UDI@`LF`i#`{fK7*YMHaXA zO5DPWKlYUnYjY2q+CyOU#KC@2ieX0Yq5e{fl&9Kf0|hdJs4?$~-|)4X<%H$l@RO|0 zDczk24E8!lJ;d*Oiv+GUf}D+24*#^KVvoKNs7ke@zSZH4`tv$7*rS>V|2)HJxRhqc5;QqA;JD#;ny0) zN5W_{onRn`L4Xa6-rd(qflh(7i=uqyZ`nULH5;}1%7|AD}5 z{Ea-S%i~iR&B}EBTZ`4%YG7U{NDcvjMo)J2q&7`khe39f$Elc-tHId)H0vLQ>Xt*v zn1EtR0L907w8Q>glUDjTt#dS*mameyl8L36dSMG{V_WJ+Qvhsb= zIE38qhgO>}!mRFn8wsj2ps}9VOEYbH*=>i^x1Fb%3!1Syo*sZV=Tew7<}v%g8qmB7 z*AQULR_!EY0IDiBQMI_bx{0~RZ?UGSw=Mq^v*wiJu1SmwT=w6 zX@TkTT3n#+@EF_~$Bt0g8ys*>f6(IWqt62HY9V*gKZ6VPS8idhhcc69Vmee^jvO$E zTLCdP2m`E>ER)h1RO)u6*yBYGaRTS@vM!AW%Z@ry;K|trd@~!687A8FzCb`)m93r< z7v@tpD83|$_IBRDm#k-zUn?PI8ka~3Y=R#i&S_i?>+m&jeu%@p8iv)Zn$zh_;f7YO z`OC5(f3Vs~AEEPhc+7{ywbxIgw{$ngK+o}2xRb8e?HF`n9}}a$^??2=YHhL{$X%at z&b8})S{r;e9BUpsAFjuvxP~u4B* z{N!s_7`Gg}*jMxsyY(EEx8NIqH*N;9@dKlx>z{IR*B3@Y`-1}!Ume$Fe4B85?Tst- zkvuI*N@gX%@zR6Xd{QCDg}T|KleiZ^A6%p>P5pGxVuP zj0$kjyHI{zW-}(bfEeuwxrDrXR4zmUw*e$Xl!C*OaxX7tZgpWTWsK)j7v8cQPD_hN zHm_pBD9wXQZ@J{Fy!RViJgowjy@BxXuOv;`fb{=>Va=gsJVwpt<*C~BI8K7{G3IIB z2<`q)w*TN%e=yfzAj=HlwJ#FUn5QkcldYNN0=6v}<5j{obmUVs_J(%w4joE*PCx-> zAt~U@%M1ey(^vuCCBU4&Oc;7gE2II8H4vjuc6nsiWn1?y#L4!$DghgOJ`4-3VF|10 zvO>M(woQYLUTu%HU2N!%8;mhum_=U;kfSLx)AP?m3)n(6#ln$-V42O`GKxU325(B0 zPEY_j5Og5MBhubqIn8lltvZ&gCOKgWW$|xk&-ReU@A2N|ZplFqy6phCPP|dWtkN1L zj^>Tk&Wo<{~=D)Oo(-(H@?rZk5@P8`m>8pR7GZ$3(gt`a=PoEoM;k;M+0J5rw_ zaHmL4ubBA=TQOPOH#{zQIX-pdi+@ULyd^xpC!K#!L;GH}Z>SH{qg#93Bu($07!h54 z`6{vyo3`yDWbR$A75`;zc2uX5sgdvQk=mVmro>(rnU9J%6);TBSFku9w7RNToK5cw zb%e6avi>N3!SBI1olU%NzA zDgZ;zfnxv5LTvx+-Z*x z6+Ncv(xVn4I7aG51jz&KnOLAl@!Hw6gt07+iB{ zQ&kA-^|-XX?L{C2S!-N7zl>P$Ur9p8-N9k7j@yG+0K^{t;oO~RZlw=UAY-a=AS_J-QWvJ*YV4#YlDPqTsj z+H3<*At41}!kmBeAD82Ju)7U0&aBLX`?J3VFYMj7&C;B+TUE7M74G}3VbUN4no zE{oje)6VGQn-yH?+sag|txC+!K!4tOaggAZDhEPXtkr{$G*Az!{B5jP3@4>kBm7>)Y3_sO6Xdboqy;kOlF5qGR^ z$67Kv5#M`3U2gtbwAs29pF&xmm$*@16l@Y+m5mz%(I5=MH=rPS%`)9frFJGEO(n>C zva2_Qnw1+Q<<^rb3iRxFFN40Cr4^_w+5nsz5Z))ai&UdIk?#lH7Soagno-f*v%|aR z6E97K%g8X)5)@J0ho1IdI>Tz~oNTNh^k>E`ZoCKs+BZ#@g<%}l_bl&FP9=F~f+~rt z3ACD|FNJHjo_*0L9%%hpsOTDOUyyG8kkbD2Lxd`d1=duJgN5g+!~-ME0| zu0n@>j)$*fBpROvQ2Z)k6Xje*9}*%+mZP z)j;SL8(JD!7Mui1J{-GvYZQ{R1dhyaC1+4bqXmhi^LpT33Vx3aC>^PC#&8<_BxqW(sWc%3=))FJXE|dV-pgB-fYjJk3f^jxc(1 zAy#bl1Tg?`SHS3YQFJ6_DgnKj)EiQ5kX*B3s*D2sg^qy$&4`Qeb97{y(J9nWVF1%^By5olPH)N1gV^R!Kp5q=g`n*VITI6KyPMezKS1D3&YD zE&N!J#L-b8p{E?sMi2^wLzRbew!47MG}{GEgIU;MRN7>EJnf-$;UI@T%@{F*p`+z) z6B%9PTBoB2{Bye}bVmW|_3Vm5zNY~UDCmCHk>!wTD)pp=Dq#T>Bm~#MNX?o6P+l?w z+Cf0X8#@e{5awn^mrfg6N+*F+Aj*Maxe~*~7)c{u;3iKrjiIBDlI{cE860c)VLEY} z+#vuD;WWkYAX*im&Vw+@laQtoktiLAR3cR9D0S4KozO0W6eFeF`je1%DWsaU6=-Wl z@y7$2N31h#LqSex&f6o6V<{hnFP^PtvyhAJAq95~NmZ7>$WK>opjnQ62|%1_nD`P1 z0T$h}X*t)$=p3+#9tyNT#U}oA26xJo3-eY$Au`KKFPtSh18f$o1UHZ(61=f2$qIJx zb3C%CXGs$sF-%F|XGd9~p#Fll}1fN zm0On*IkFdeo{LQVTBeVWyrWC&HL`xZy6#BO2@$h#bmRal_~!xLmB5Oiq(TB&Pgdy8 zIVe3$IZd}2{DY?4_p+J9!6V21lS@wmq1fg#d(20ge+|7uK&M#G9dQzf)$@Kt?7Fwt zCYJXn@k;XLP>(kDG~*AwI}B!@!ug2!M!?G0Pf4Jk-&@nQe}M7L<7;9=2=pYLux+Qm zICG3{rmcwR4e4u;Vx++&;9m(wineCtl|ycRV*n_ITe~f=qOk$SO9#UA(%0bih}ON^ zc`TQ{y@p-Fc&Z)6Xm8=k6=^aOw+3ELM-{Y>g~_df2!@|dU8%CQ90cyKUV%fG-Y%`> z={+*~@7|>G!V$4wWzXm{|0$Tm?#kLJn5ND8p+RvIA#Wd&`e<@xf{rAnqFWAvQ3Swz;%?HCpI~EOx{j z=pEww8O#@S-A4OjK74-sT zx5xQhz_l0ZXx@}XB*0S8dLRpnc$5UeThTzl;y8ATmvq7cjW7nYn6cCdn!&Rha1ye0 zZa4Hgc|2Sf>lN5jH}gPdh4_j(j{0@{(2&SYkPp7;iK#-jzKmh3IOO&NG&$aTq~9EB zlqRUD$NZck!Wq0fU_Nhmhu86QISB=92xTzI6e-NVue-An%?}Z~jARZgGn*UAVe2^P zA`DH}ai3v}?2xeuI!$mmeKiNpC{CBv(|ITamw{Rt_B6yH!Rd6@$#uo=|P1-crnn&fn%K#4`Y;nh(s8kj|UC?KJdl9|QqBjg;E9w0cL z6{9B?5++Y$GU9!gryF zZH)&>R{^a8_pA&WBYo|;7&83^ib!obiZ1`@<&BoihQZxutJGU2cGbb~$_U%ynyuiE zY;zVVDU!r09y3I0#B_>$rS@K&EMP05G0>4*b;aO5#jcw5O>)z=9GBblf zsZhH1%7%EuKXn*(T%c3yzG3_T8 za+9{DphqKXFP`Jl>(%b|;Jz%+AK4QKUWloLu4%|^dXwvrQ(hd7xwQ8q@AQsl)cna{ zK@KAeo3|T@@j=}iG0E5pRUQwTQs)FkHMkyTilgW~z_<2+2 zU@cRTtrC;bRi7AKJ62 zAG~s0=H7<(0>jp8r;qMcUFGKoE5M+rk|s++no9V4@}f7y7_ZbIWj15vG8m{?S)`MN zOXMT+c-lV9=fhS``3(ald2nQWv*nBDlNZYa`eSI2zm8s+y8=;;SSz+wRMc^4$qny? z^`}FFIFql0JrDk2)cE^F!(!PVSg_5bRNRcGONrG7`U~q~6|llsUon_`5F+lx=9^_q zH*FxlVvKS4_Lhd|EnR}zw#n$s$T|EP>9JkajWB5cen4Nt4wrPl_J--8kWU?0+TT!# zh8aIbKI4jVEb}HZzacmfPx;~9;4Ty-x8 zEk}1?)3kI1oRAZvu!{Ivf!{^67e!0C9;U30JT4q7D4HQ@2w2$V{w#R#jfB<@&5{;i zdm)fxGjN-YT8QBcwvlE+5J;kC_`zveGt^@=&$|k?tK7hhil0g-k!O{9u%f`gm~DdH zQ;0`pm^bE1#Dd{vF5@O{9PcMjh>O|Ya(Y7X8%0jux5=Ufb1{F_sEI3MN@7&>(-o5L zZ6|F-D-+eG>~Oda_l}+MWSCsJ-%rj8zO8|*eLnK{3I}6XfFu4cUn+ViW3=KpN`Sej zYbFoHhG8pm6yF^Swq^{O7$w1-C`Qc#$-dSbvHb9me7J{8-+;XA^+i6bfzYg<(b7p4 zmRv{mNYdYE#C8D*m0?VEUZ8Uh1%!dXR}Y7~z0I&ucpAeFFZS_Zb!zp@5X*NdGfpIjkkiG;Af%@zimWsNVP%QR#&phjcN1@18XGe(u_>HSdn5VD6mQk18NAM z2cZnj^^Jd6U7#24mpVSxIbFx>o)I0ryj?Wt9SGXV-S>MyYWOwp+fr$K;sfGp<5J&v z557e9>6*-8C$hWwh(sF;bMXRJTkZQFTtr{#e@S#2D~2myUm7T>fKd9fBw(bJLQlc= zyBd|qJhetor^>$CC_{%JAz2kD2@1TbVhqOf9o+$-{ll3w4-T#GgJBK*UhE&9@kvHo z5n$NbCdo#v30<5!yb5-W zeVFmB;aIfuQYHBeS2{I7KN){m$`RRsSd9?uXC;6w0oQ@E4)?R~bf-`S4E%dR8RYPz zfzd;?Sg%d1XZlob!QM@xjt7iPrzE-OBe*XMHWgw_93y{|uz_3*YO2Q3@+#Uxi`2ak zZh|sz%{zy^q}mQk{k=x#cC0XCu)4TSY)*i2LKh(?0}LX8Q2c;)xRinwv6_1WKWSug zO${8;67VtYyJQ>e0&=#=;R5mv(OP2!bpD^UzwJ!oK^pO)r3X=SSK7x+mwNp zA&&!BC9glr5HqnbBDviz^-`i|1!;q%T8E_u(e#G9-k`xD zG$f8-c@b=#`~vdg+t`w#b58YK%>H0Mwv%gS2gmNjxK2-p8$IBSu|*d?cFvO@Xf7p> zqP1=EG3+MB?`Lo9NF2{%N#P|+jVPSj3Ij`i3D|lRCyhPY!DoPzCaV9+5QGEb?;zOQ zYIypAndiVG!jE@h16I{5AsuJrJfYG<-nlv%hopco!JFXz<;BN>NIv|`S+e>F^7ot1 zM{iWmj@(&z8lRBZcm$2)7{*PF`{5W*!nOJ_+4|h#h`jLfhTL~cG$HFFA5xwECY}Pf z?+KH&ADENmp;)YO&Opl`RT*$L>~&bVE!YV-CfZ2TM)Zc{CRNhqmNI(Ukvd7j0tv}V zftrz^z$^9kktS^6%D@3xV3p;HF;WM@^2|3GJqv3qCQGvNp3t>)|Cmo?y>*$`ELvqm zs?J$gnVeIF*rB`@xXFh1|?$McvIw0aF+LtQ*PQx@=d!6 zi$W4&Pm8%*A?A0^7;{_W8ps=yP@cIM?ZgGa+oXv_lB(i_IHxHK)oK_dF7>u9g=SY`(pvv(nEO0Ad+ld1j+=kY=vGyRCGj6d~=3%KEys$ywI0t3wb~;0uIWXZ1 z7#n}fH=&YX0JwhPLtCI<pzU-5K%W4PC#|0V7pd>Vwt z=&lR|7Cc8RjW$#o_&g$^;zvuiD^Sh>2yG(_1O_2)M#h}f|8O+2t^G3kwO3%;7F)FC ztItctR*FWM=n-oaKl4Gfxi=@bXmIcH4dWBxSn}nhx&ANDoORJRMQ&ZV8^>>b2qb^w z@Rj?!6M6pya{k0K7`FcUIS$b)U?OT5NeXs?pQYOH+eY+;bQomYQpHGvNyxhtCbUZd z@**gHdA=CjUPazZVFPjUL~?6VUKotAazwk7T*uJ0^G%X(+vN20P3->eO=KKh)4E-T zzG)Nc;y=GkH{J*xDW$Z+b{_uiOZrIo>$NubG94ma4 zOwS#;0VCTB5$SWG>fwttw*-~!3(z}IVhVPGzo%gHOhs?eB59976lDEL=5u3u5|WjH z#kQm*=+Z0@$I#<}`c5OgFdAw7ZU8IZu!(f8$#ZqejTmeua>nLPnQhZIVaT!IjP)Dr z&*ie<=Yz$mWNcZ`Rl1!!kpp)(TJFn|XhiQ>37VIWpkQFkeB}2P9MF5a!_OqZT>(|o zGZoQK8amcKtgTNNgIHurA&gl9J-F;%aYO$UgHz<9q9iFqSSW{+D>-b9WVRx5jt={# zg6+c+SW5Db&<<>227e4MWUyL?5fj<5q&7S_9iEo<83thHO&5Y1Mx3!R8Xcg_U))pQ99$J}ZBIH-k8oCmEN-DG#<&UX zIluy$G`5I1aBpcw+_K=s4Zg~1O8h}tlKaSs9v=bs66g_HLc5!&YTMdwiaS1Dt#&k6 zGQ4X6c^q)H6+IV^sj4;Y=sk`zFm2yRLzg)QUT?{KY>~;L6JIg;P$qjzq^8Phi-Gfz zwq#&Rzyu$t^F^?PXc*KG1!x#H+cc)O?a5pxB;+`=V1ecSqo}Ov8T@$KpSDyvmnl|q zOB(%2$zPbmyRE@0nn0X1sm_s`RTi}VVpW=Nz^A8fnrWd*u8BK} zF4@M2i=%_c&G`|jJvlZXxCSJ?XFQG%*Ys#|_Og!1Iq^Q^YPy6-`Gdd>LL5i z0PREI7^W~ct?4~*`%e2Z?iGNYLZDDm(bjo6$T<`c1_DPRjnCJ_ZLWrqaXvVDMd&`^ zFl?Q{d{6ou1WhT_`wS{j9PH!2D61cR-D>oxjfOW|0+uiNnu}UX2V>jsWTx>iC*<-G zNO66q{2S zsexZ56u6IJBRJxj;wAQdZ*j8qvI+Txe|Mlhy6>;o^l>*S!6#oTas7`>Z1U__?)%*h zaS!3s-NA)&>HDr*(#6AjaNJs@%&zilS%lt#-4o(T3o7q~Wb!yQ8<3*SYId%gB&T4i znzFngm+KD%w`1S;#KY2zM&yZiCi7~fTJwW&x^@PNZ}WP%VPfX>w%6XEm#8F1Cb5Y6 zX*q1Nt~Hr`KydIliry58&6%KfD)P|XZplY{OK^!VQQq@+{lXGe0jX6gh&s?<88_1= z)UkRmS|BSrg<&f~M=_`cTj-}qvd1q&g9eiDysJRBylShtD%^iZV<3octlj{A4Te?#=${R+N}+h%>;NjW)pU6W>{8M>e;fDJh3~c^kNKS8z$5Lo zXi8Ud?a?dCW{g^n2BOR0u#DVIrjTjjA{b%cLo|o9(~Z8GDEx3aSqeddIYj*4NJlx? zvy`v9ES3d+_W|Z}=%rvsHhAYEn&}Y7OUuIsie@qBhBWDOmbL^w13X#u!U!+WEr|Rf z_DZFZP%5y|)UYE?n3c+2-z;>hhGA%gEx;*;N{bcrsVpT+1@d7$>8@;85fEcYL(^Zg zRv5Nc8@OL8{9J~~3t`nq@rhkq$Cxxkn^0G@1T8Gk8wA3klkK7e(PPMgePIZZt*|mP z&=4TXvjCNv?VyLd3+gL)82M~hFFW{Qk(FA;M#uJStOrRvFFGGb=GG%w#z(W07g!Txn~i(EjxTQ<8gYK0wsVOc zF)AxT2klOr#*W_c1J!bjPA+_WDKAyv2}#5%lxJVYAyR||Km?eXaR!e(@iw@wUEPSV z&m^!tkU8~$1WioUN^sspYTM%$doNP#2k{`7=A^4OjsG8GyrwJO*NenwUN+KAo|Bf7sq3fu;hkU<$Jkp*51aJ+Pb zbqDN%pJ#7uoyna;A02TLM0kIK4_b=)4wlh8uz>6ol;*u>M(Xh+g7b-kB$|y~AfFCw zy*W7%)z{*E?ya=!UZiyy^OmzXz2~93(fK|f#yGOR-O}t-^2w(kuE_Q1VW%=p{4To1 zQC)Op4ZWpF_#od8Tc=ps($eo8JHK!w>d-U7YXdc&903lWB^S=lhhi$DX_00aw{>u? zvcV>E$v@#4{5=WUPwT#cAe`$*d}3kPB#b{iwV8vcs`Ny>bJ&U-!TIZOmu9gfBUiE4 z__fm7z&*jNk}L%=U#XIn6{+FQ$qID4$6RDG;$+gX15LRoUZ*Q)F^xM_(-?t{KjbFj5utie+?wQWKv4!&+#ZdWoQr zGjz`2_F6iUBC9d$1bV}Tg{sbhYZc6az$bx(WaH=nKt?CRFb7f$$w-+{NDdU(ZW2wo zL6@R2A+SmEQW&TiV)bfF8Kq!Yh#^5i8Ti|2E+Dqk3acx%50xoJ4)ENxDa%sNkW#Rq zTdaBdDGOl(70fe>n@5la&}3YFwnY{u&bP*n`UMMUf)NeK7%vyN)Xq`{SM}tDr&eCK z(*{p(NR>elX)pLb zWIuDhRRak%s|4GNemtlOc7ngfL>CafA%hK4;I;)$(ql6QmV#jkte^nJod6sd1&d4Z1-^jmv>k<3lY2YU;wJg&u{5*-n-B_ndQVz<(a$3UfYsN~_1#Aw= zz!Aw@FgnP9hV~(7mxqHcv4&V)EH4hf9B**9JzfL@Jn*TTI&k$pxXeHBmOc}UXjk+; zTR!bCxXxhO!qGvS4`tz2MyR-ZyZQ`*JLixg)aRT50lrQUI))qkBXK16r6FmQor+Dr zaPAlxz}^yfNf|5OJ~$j7pA$$KrYNxE5PEU;Ie`WUXNuV~hBJM{GpGc>(}<4nb96ue zfr&OcG6cC6LwXF-8>x(hyh~w_W>n}TLNT)_B0@5Kak}Ga0Echxad*7;^e% z>xo$8n#Ix%V(W6pIJn4nwMltWl|e^!(b!X(9Ji^_(Imr@wD)tpk|D2 zObAPdqhlCwBw{UK)+v63jDzb$I8+xLNBaN7i}u-9f%Ku8jC}cAJk!2JvDYKgZS@_A zwNCDoY9D&}Y-8*5K~k%oAJ?zaNN)M`bL6tuPrKydC*6dNyh?2JsxW!;S`fHfHgszG zPRv=}wj{yPH+kjAqztfr3pDK1Fp@Eq0Och^ASD3hr4T|=$IybMh9ebP>RT9c4o2QtlG3Tc$KDl6>pQQN`2HUnLn3h;!1T|eqHf`NSF3Xjn@-;{UMchw zn~Yywvpc^qqjvFJ@W?qwf@J3LU~%D|Ga{K*d~*4gFdVO8M7LlP+3F~IP;XsozNLj7 zv7f)7RM*4>k>frisaGVya^CJZ>cV3>;l#je6ot=z6kL^4hx^t1&ABhSK?*9hnO zVNOqh>2FI^{dDaPv_p+70B83BZWU7ti^x}Of54;DVI7J)n%KTa81pzrV~JpxMfaiM zP)zgps0!@y!tQ3P4x`2khapO7Y=~0aZQ3Gt*XhkYJ__D#Qf9C>FsVX%n9*W%RKQXZ zj|Vg1`_tz*2TZ{@CLlu346j@!5ppvc6@2?w&M{CfMPo7eei?>_9MI|B zO&Fq-=m2>`L>&-w=-^BQbJgLL7fF^sh-UKEGkn&nQ}mhMJVZhA^2nqg%s7OaiMogJ zByGVXuf#SrhkiQV&BG1CcvW7S*h~B%l$l@0dJyQVAUKUzWc%svvQpj;cfw)JDQ$DH zx1et{HR5795@Oo!*#FPo+d$WH-SwgW8I5#DvV1+#)pcZZoova9qudxcaSS-c4O_Aj z6l37nIKfG?!m&vm6S8=h#AG>q{w2$fl7^sUIV^_;zhjdJ7vs=N2z`EewClt+eYY&H zK9?GrzLxcb7i6W7()%d1E=}S6etXUwozZ+sI{LUKe`)5-?EmNf?~mDEvqwc=u)**g zZsaVQ#=C>Y2`rpJE9{?pb72b$%FWGaEy>U=-l}h<`K+3iztyE^2{~xx@yH*;5=61j zSh2Sc1t-sbJCbx`ad(QrWp~ZF-;8|H#MPnl!j&gp)B!^xCLIf-#X;yv=prJ^P#;J1 zoA5rqYV=&^@gYwxKjF#7S5Uq-egGr54I_qh)u1t9Pwm54O$hk&@7S<+HddzO#1+xn z4R}4nwpZoO$&EFmq@78*wV>aCu4OS_FI^IfI^ry|gp#tCl(_Cnh|&nA!r7-q zI*+0@yYn@;_3)|eJATGWXKYsHK7a=wrCoue64Gifc!nHf({1m%vrusPlE_CJ;@#C= zfs*&g&FlX|*IVa@@G8fY$8PJ~!<)aQ8vKMOk1kELqo==_?3EC&V@~|UM>oOn#JDAh zoRGw&O4^>MQcQUyuB)#ks{l zXynYWe8c+9{rZsXPPvd-?vc%(Fut)4}fh!=G zgx)WqFgye)&z#2V0%!^m!jQknJig*L7)Q6Y<;jR=L=2Al5R0o%{}QLP{Uz8ivQ|W8 zhF1Wzie2q%+}$otBQcLfnsL50nif8&paDrbh2D&D={v5$=d25awktumj%?6Drg{{$ z1!jFK!S1~!TtiEx89N}D-vMokv@gL@;e|IA@7lyjGkyZyj`&)~3|<;G@y%|}fgJac zK|JjJ=e@B-DMPIp%(fDgr*?zq(8EtClXgMYIOK#O3b8F>UUIPG)Nvlz4cEA3Egaxv zQAih1`<_BF8MgzB5?Eo`1 zEZm;yobT1xfrKU=RVc8`?%v)nW?1ul-bZ8~oRiI0;d94No{(`lzabAijzkV7BTt#k zdjf5ENZEP&LyEfA&f~_6w0-%R`RnDb@#V()qF7nQ81(bs9W?DTNdF&;4BneVmiooW zjStt+&P;japu{LTRcSB^kIS0XUWOA5Iq;jb?k(>V3H=DyX3^Uei##t}hDoyXLwMZ@ zMJf7k{+h~?_?NeAER7OMAxEvFfBptfTZ*~9q3KDv>K-Gl-?+pZ`A$4W8|Oq<{^eCz zHD#cr0|5(RI5K}h?y^&pc*(ZT@=Jal)rX#xpKx!fJB7%G6gng=%}`XIGh?|7ECnvn zO&LIS2{6SiZxRY#mqHuZR3uH8Jdv=}g>OJ+rkJRsiv>&OmG^fS*Q z1fPWDOIOv<21%}3>^rd@Ju5@&7;yG4KP}Ne{gSsJzxqfta_X%*a_0Q8sENH@B6M2= zZ3Nz90Two2a~f^&|M*X;wYr&s?JnnRfgx`Skqt>4qNLqv8k46KXhq5Zsyj$vv6K~b zQWb-Rc*;e=^-*U7-I2f_)?+TQc5hA;G#33kzg6Zi6tRrW49)fO+>k$Ynvwh&iMy@n z^)%7!ox{hBtepK3WGi@N>Q9Fb+7Y{t(NYUaA#Rt8U^AL4w> zn_UA&3q@rTLmU<|kaKiq7GG^;UUEZ1Nbsg~XIt?=m&Pnod)Vj81l>N;u2sK;7^|wx zyiqERDvJ9CBD)WVfI|dgs8m% zs`fUQmP3+q-h2hMy#E}!trh_;Jq=OFLO%LelkQ=Ob{n7hy*!4?BL^`0K!KIl&H!Ft zHCP$u4`FQ)o~a9G;L#1Pt49$V!t(4oP@q&X`hb4u@)|bolDi-Xo9#tr#M3zx?^D2H z$27boJ&WIH0Vh=Z`0f#M)e<^F==M~Ajq#9G1km60MO= zp!i^wY^+FtZfn!F7S}{itUM-M!d3;XwmABx51_=7YWNOG@Sbz)SY-9M&t9Y&~DUnpP`zRbliW`sBHf2 z%&PqA@hCX^zBT#dn}_ty@4Pa&zVQIMt&L+Mw-_t!r6J#22=NZb8B`Y?OxFGKJEv)K zHMl5%Oi9{hvApg_*i1;7L%^&!N=S&Ugnk3Mmc@?jrAtClDT)Ny%z(f|f|7!JJ>7 zo_r9~<>uUwPW;Ys3BK{IYjV@(kTkD3Dd($Ca)}>YxWp4h z^?Nc1u`6MnD&kDOPSXe)7F!8lGA9d{+S|^*-5Xo@Nu8RACZ-qUZ;`!_Z6tc_9MTjo zE@KAv^x5M~8M|@$zjB)M#r8r`){iI**s{2k?<}r%8T5uc#Ba4}pwhI6DN-I|l<6NK!r*KGAs!cQ#J15e>YyWvha-=}w24 z#IHj26uPY-^Fh5snA;)!ks-HmLIuvvBEbr72%cvDyY1t`bZF;6^2j%YyPQRj0s_(^n z8m=s+lC4wc8JX0E>;S5$wZ5!*k@m)~pf%iCs$w#xfkbVEn^}oB6WqhOkdt`2H0=-@IhB`QVKb{DUWkyw%Pz4*qs|XXg2el}PLJzBKQ;+*(|h z13UZ~gAr!QmO^AhdTLW9rKEmlT`G!5#j!nA7@m&g+UvwK zuajZ@BXm^5TRqQ`#PF0CNlQd2D6n~Iqqn{Th!;hFerUwUdhRu8o%Kfil~eez@*fRt zc26wNVMgQKXfzMa&z5R*NctyZFv1M638Kjx!&4Gsc~g{teWjt0BygfA zr3i{FE26ImcX6no5jl^hioP2gr2PflXm7O@479Nvpf+A~wvEg*A4CWEM)svKG911W zXnaK!Ta|`W_NoA{N+UpzDT@W?06oqWS$&A0E__|ujk+jMr^Gg zMK+LN!Z7$E1w9PAu>uTEMP0W9pW3)IcGEe}oZZ@JQ3L~5q9VKs4Jj>TpGB12H!Ta2 z6fI+o-h;}Ks)6l}D>1o8L=Ao}VkmLv&SOH)4#Bki95z0Hb z9>O>qP>y{c&w?$u6jL}Y5+b)@{ZtLNI6+$ij?mQ(Ei(f(-NNCY!D7#W3f(3wQtXZv zr#ey+iDiuObaWAwtR?9ZPXvnrOUv~y08}QTy!blA9zdtCCUij8Jmq=APp(xJ3{xr6 z4{}LVzn#gntkPkN|3_zU4X_!7%7aMEa20j(h@qpLKHPBVybWx28vFEw*QSw<`lLRUgxBmY6@oy8~MnqRmxbvGLbM{``;CocjgWoPq^mROK9K$XHap9E4`!Aaa z!v7M=U32(S&M)DUIpsQm4K&AKgj*cxm}En;4#_YTlaRC&0u?Xg%7mlmpoAhp6#g2` zNflBj5`d4>cn)1xc3Xq#54z^4vQy%8Z6Ci!p7|~D8vi&D{daSv3S%T*rpy`)lp(r5CM9uG z(iSIRCRR=^c}jtv>o>spzmS9Kt6;W@AjYq}8x<$It)9Na(1;wUE-pDtTS3kv$Hbu9 zYUMA7SH1PW=g;6HRI{QW((92X&*GJ-WB{OSWIM$5q#`ge=Zy34rU;WauQ`Owpbos`PK z7UZTD*!YaWyRD;B%E~T~Ii{p9o{7fMj9Q3mK+*x%VdBS@VWmcqT_BDjaC<&&B)13m z>m8gbr^(dO*CLT`H?S%f+kPNpv6Z?n(129zaTs9tX?gWM(8j?*q^eP-ouJ#=;;(~o zbZ}ZsQ{QkQ_(~G~^FObS^=2tKKZM&sM{(mD9==-so*2ruitEa497k69n4R)}R*F1e(?C78R z(!yY)y?Ru_%GU|Z+!P<&X@hZZain9C4N26dOinWul4z~MlV=$X+e()%MLfyuP$j3_ zRLSV*&9>fguh+2@XL#yQm#s)Lv{#8gaiMI)?eU8%4$!JmYQtDS9~?`dkGQI{9BNC=h*?@=y6TmpEv%j}-iVDx3qN1B}<-a=Mi?cT&{+1n{Nzf=yD1pVwa@<5&DqwA$&UFU5qrYgq0d?=M^ z+i%IXYm~bT#03%Q1f0elB^ zQEeF-eK~vuLDd7G$m}^D&Wh_G$S{|jsk2Dff^e7MyCqJnW#g_gG7(_ZdMuX-#N)c1 z&m3AC{1kZc3EUqIFExOg<=(aF; zRSbqG4+ry$#X;yvAY%TE_%`mgE}`4{s3-zsQh3xgKwU_Ri6muUh}^FBi5q5pb74pu zE3XLTZFh_J%(+W^^DnNNU%%t5_}aP53xYRz>Ue+kN3b&SrqHyWf}j&v%7mY4$QTS3 zOSTju8O;ViL-)gp8~%hwayf(G*A zpugL<`ufVD2&w|~8|-3NBxK4me`)#~n0wE=^0eVY31+%_T9E6?{OaXSf(VF<=% zyRopb5=VLiFuPHUiZ)Me6ZuJ5=sDidrc##3c^uZW+{Yj9JCY>k#g4|bt>J;N3^4FjVZLdByKfDu?;U^D@eI zGa9h4n`Kzjht6OSSrT>;)QCsxwjDJBwPYR`#0;`&?0iKeQt~>ysGc6L$ zt2ZFITZ=;XP8>d@qpr@icm|vIim{g`lf0d{!l3irOZft%0i zua5r+^iHukvrn+vwjI+N3Dsy@WOG8^(tgkMqzz}={$rC9Q_=K%B<HHn(zzR< zRN_ce50D-cu~ncXNTerfC(nD{8g?Mn@dozjzks2Jn=X~xuUNLmz8$&g_$isY1AD-b zSC=r0-u~m^6~U6j;G=UCR|zKl#7jyMBb|y#N!(Hl3;sg$mrPMAp6h13Z09mZ;6#C`7$xE2y7Bl1(pQc)qZIKqdc4VbkY6a zVI}%963_hKcpvAF@nC=F5Z5$ic4&&X?mrtOZizNLQFsc?L2K$Mhz@_9& z5YBliLPGf!plJiB?jXQ_WWpQi@JmKl?W4MeBFkTp_O*Zc&A50SyP}@GM8_;X zyu04ji}z~@(K}Fl^=(Lv2Y*(YEu`^2J{)3|FP$^9Hsl_>B=>5BSr#&mNH~J7b>jhtq6P?NfU=IHsMxzO4TVRw{~ZAY><3IT(d@F! zv-CwFMw;e2(p|UbL!rj)@iOX985Rh^ht+vXAw>FXjpf$v#! z_QehDRr3~6I1xEW=o&%rbvV{MJXJ%{xN!Q`kUZ?5?7C>-WRb=IHG(mUI@wG~UIRF6 zdBD(1D%-7sO7!<=i)m_jgCth$0;@VxENMrWe6=X3^Dd?La@0*noyEu>J&d(=I%7f(jm)2NaNQ-d8qL+yfyIC zq5U!O7T135GOs=T!xG;4=Am#5YR#1wM&mKl+p$u@+=x7O0$*2}zMzy>4H<*Ul8H%4 z-1h4J4H)j#Rqzm@F|> zU`en|t)fpV%UoReUH`Ah+cte)8?V5c^CyO-g{oI)E|un`x1!4f*6@88SHeD~_<#!B zIQL(%mnkKdgJPm!o1bv6WJ)2jAsL61leks)2}zxbpUbfa?p(#n`=}9JX zx7#{_>jSH`sQY4q@)Se=vAF<;W1+BT_AgoxjYs6|uSDl`9eVIQU7W)!a~O5PgE+B} ziQff|66=ITDmRj#%HJB#;7S<-CrIKp5Wzdi3%QtW75Qzf z=70VYFVHdUj*vPCW>ZRAbG%)_?pfh7_L!e!^1dX&GFD6`J_i*?HDJZOl8Ob; z@S^LSo`fEP-PUWr7i){|234<^u-I7~J60Rpmq<^>jo$Z_*+9{4eKvT5$Up0No_F;( z+h2Y7Z#-+i8`fCWBdFnJj16e1gvbx4M(n1nh? z!2+8yfU*)Wyhv$FFh#!#M_D5Ao5~Cs9g1Fu!E9^PdKzE5pc2KA&JGEaC8i8=)neQ* znr{Vi9=nlRemLWX&n^ZV3nLg8{#xXj&v>4G^7+BlY}*FeP6Kkqt@WmiAPNshEWFOQFcJQl>#5{YTznJj}MP`B%~WQs~cWrG(p& zCbH4Ar~X5s9@C{2yy58Ek0alO@=^Q!L%y6nCLh%+Vy@^NKZuKV=#Tls;cYToY1MXR zFYWspxttDQ>v2X5FZUB##!1J++sCv*Fgz^RC=$#fcmtSQkiyou37oi>svNtY6U^W( zI>w`T(gQcj#_vSb(906|;X$n=h1yJsZb1R+BF}o*!thSiiTALL2AJ(&$|gWfsRj=q zMKbpB8uzk2>%tmPldOiMossYfR}MS03_chTu#U4hFa?Z?S`T|inq;~qER=!#dP`Cq838gv)vh(ossXJ+U|h04sBIF>C5-!n&HWXX-Kmu zP)y8FhZ(YV$R-)mpp^EL;zWdtw zafgMcPeuM^rJFq&==;`H$=aqBm^E(vv1LdKN|hv7xapafI)Yl%!Esk{NCbg+I{!)&WtB>cb8 zfmEV6Qt7hA)FP@B)VIWMS38Q?)}_rMnZ5rNO}Xw*prm=J@ou>{n0oCUo*&+M9F~EE zy!z#r58H^{);n(uI%{(jRSj%jiy>X&CtND;Q^~cG2}wC5o9Pp2mW-)bQErWi;Q6!x zRJVKR(3~nzNAL(9ap<;+Ty>MPw2G??U!>Mzwsnd-kk0<)cgTibrx^3cRJnPRiv610 z5;gG1<%F!0-TXG>qj^EM)id5SmMK=kDKV8aheL2m>>w4Joe1l|!IlgSp7sK!TSBrj z+U!JOb8(kq%Jvgpd=#@`L@`K+&;Uy%9NV;nZ}#It_oe{*31?+xLh#;XChTYg9F|>% z_0CG{#ld(Yx1M0&DAIKq3(gj)XIidSrt`>%_+}3JHQ{Rk8;VKxk+3wd5^5CbN*TK# z=xv%UChGfw~{Dj^wi_s_WppZb4e!Ex1sm`y77jf`O2W3|yw&vCTWkBUpg4_=rYR zSR{PEeaDV9r5K#rlbEr|0dwZkg<)Bj)8PtMJ)C{{?6~~F0xDmNGWn1Zc|1S?eibf$ z8^c}EnjZ?`M=xw8(Ah<95*!*1)he0yGY=rK7FWv2qQ z7Of?5JCJg=_4oeTm-CqLH?Ng%`$ae zoPmc@8Hol1l&2IT8`6tg;*gz?P<|;GU{eNkEh}XzM%r%SET%{>!6Tz$&ZFDvUFF^H zpY`VxMD`a;cC&%0kW#fH&q}+2H4iF}nMv>V3DazU4a0^@{^XUb*IA4x8j<#t41+{E zuL-Yl%D{w2vFkU=uJ{%h@vOSH9~IC0nu!|Mc4R(UV0K_mmGkS% zLKW;S#F`aNGP|eBzf7$~QH=}+jfP}ngVT4>dyr&TpiYL`Ks(4@tV>N#1}w2H0rWz6 zlLy``$?B;7E}Z5~?N|<>P+&`@DQ?MRCu|9ymf&Jk(QD((v=N&^ zc(7i_WV7Mh7snU=1e->j^|2c9gSsZ|%R9sJ%E*`BHuyf7*Bq070{Z)E)E)}De!y4F zj>sUfYDraNX|wYTeietoQ4S~oN(xs1c!2-{%Zc)$hlsnaGrpT`UF9+flG2$LN55LT z%79Y9T#%BWC%s>72fe5%6G>~`TVDSn_H?=Q%>B)0KJSZn$tf?II4^!@^<(059dYZK z6_u6CV8_==^~K0YZrf(?i!>l7CWUd^W^yX}tjv_+Qn9L$-JH8!wPVSiq#&;;%kVel zYzCBp0%=K*h*DhByp+8zjq#OvH`{8SRx2|%d%6O$Yz!SlWS+eJbywH7H*a@-%7hl;I;6Vuvu}nTMJH!z9wFD@HFTs& zAT2ES$NkkPZ5%qp1Ri7|T})imaaRo8(c>P0?OpBfMYW#Us4AuUEi7_fKTY=9WP^GS z+ivPgP$qgkPe3{>w}5ndG!~Pts1Q~JTS6dpBPOX}gbNAiMWMwfA>Vj&Y!fGBF@DG! z*KY2K$hp`~u>C%VWgtPiG!QX65TXU;JR<_{wt5z!I1Jq3mnCb4IVXS*@O)t1YM4fz zVr~J)od|H`Ou3GPlOfVr4MKr*`w80?E~;UB`@2)kaO;-5RK_m@p;>+EiDP4}>I5*oW9=om9zJm_m z1LUiXlS+^2wMOLvcZeQ2`_9!{{6Aq$F&bF=-*qZDA7D@SS3Td1tU^- z!_zgdUJptXgODaLOk=6C7turi*fd1q*DmzhEzz_AsMC;5-KYN2+OE* z3_uuOq_o9WJAC2E65}F?U*!!TqXX}@P6V87HBSR~@-mDCk*+^owwQ>i0!xDJYWWTg z5LVD_4IB8TXU97(diiP0w*J*bq(fNJKYkBp!GGZqBBJmS= zlzgd}WJ9tJ$uQ-TSjt@_Z3VEskWRtwZ4Mu89}r-+HQ;Qky~?V;eu?YW7m3CgQWdny z2=rUfZT0p4oC)Rmt5;{_BN&OEfZF+QLp?HOCgpq(gzUB|KY_#brtOaaQzN$EIash% z$Hntiz#Kj>2-(_F`AnT5WnO-Jn*Z#?XpCZF(h2#s%7yK|In z6r_AP%P!lvw3+xP<|#gxrhKgeUo88;Fr7M@N@Lm+fGAs)2!+CdUs|uQIw}J#Am}CQ zl6#o6rpNKX4L6YQSjx`MaUG(EEiRF|^DU;UX9Xk}Qo)S@NhMT9R(m3P#`HpVdjZE) zz#j1Y_{EJZwtKJli9#*s-hyn(x$7$vH>?_ z*Pzzw7X?2f63A;IRWUs`&n#lIDsnYkL5~v)JRrGD2%SbAzkT-ge?TRts__@>~WE>pd`hly$Y~jt;|tUIlNMd&r`cb z#K`rLoN2FMKiQAqYdE)~ct)?Xa_k)o5^WgYEg>SOP9%@zfldAB&p1RdrDZ|9Fm3O(Xbyq;oHs+4dc5zzb5Nv?zqI)A->yck;a=h zfftK}&ph^_c#$xNChEY1`r=5P z%=E~Iu>jC@Td`--`jE*=+6tkCm!ieD#2D#><2*|%kuOh`SOxxBQJ0}Kf3Z-hfZ`} zjO(^yx6o41QfwEQr_#o_g$-;OVA_J)Kuia73#{{fJB-Ke96}NPA=FaF&FZv=W!9ho zOKqr;*K5nFM`)|bOdd%=@hAvRNl?-FVa(9tYy~$)AWRxqi?bvz0>22c6`ly%3c(eW zxZPunjkD=0cX;ttL=Dn+(qP&ZInW?=#k4>w%o|{`9aP`#Ifm4LgHP5v=}=-g4YtJ7 zuZV7&*QGEAC()ToDrz^p0CXj6L(q+}l3+R}-3sYrB6BIs0SmRBQrwo>P|!F+R}`l$ zxe!KqAx_F*lC&AJfP=i2`1l`pC1A<5B^b=?F98fseTcHSDQSBq_c4|Ej67wq-LhC# zmm{O2Oj3-yA;%bCEU|RLR+3x8ToUwRUlBD5D26h%tl^tTK|I0Z$@4aDWEi3I__dzJ z*rnkon1@h~(QOSZTExIQgZNtr%90L9`3d)#M9PL(@}(Vu0K?Ffw0sgrN7^DNvdqz= z4Iyxtbf^i9#%j=ShzZYtRGD?Lu#eD_&_l#gHzHKRg+`8a6E`koFlys8LyE=83fWV5 zn6~LUm#hfr47Io;$O2+S8Dzc3P$AfOzcMDvOiiJvU}1Yo2$d66KpAz6oHm`X?} zyA+=E(grwLr5vS5OK%-Kl&Dp@8qZE?(Jbb)X&fCKNIfh^#L-6r;uHzVihk^6ulOC!verD-C>}*;q-y9bgmhTk`Ej zZC`82i}Q)0H5@MD{w>f@dbJGa_%F*9mE~9G9(BWJG9pF=35ye8qwwTzm zxOE+pA+at7lm{~+DYkgcOQuv|nUdtC1O0n zY2J~7E!U5u*>WESf11oI@7i<1+UCnL5jAM$dej)G`o=#qtfHsiEFRZ-w=v1!y~UsL z+;Z?w8m<{cgZbMQJ$bSz^04QUHY4Z+8RSLTpC_2{T2g@uqlQBnsyA^-D~LU1e4 zb2qMp-Y-H+cq<0;Noq*fRXb;SL#8@l7r%AE4GFeM-Bo+@CZ<^-A#A8!dFZc>jYYqH zy_j=^_4;NjaFJ#?hnTnBY!-4F|;b!LU@kr51*}>&kVICenNI|ustTRJ%+f1 z)bb|fkPK5Hi6L(#7*eFvby<|ZecIHLstQg&{pl!^84S7lbu_QTZ0jA5i0JVlPnK?k zn&L=SsU+U~=6A7OBw~3-SpcjKZDVyP>iPGxBRMPb{a30^fA)m0tz%gI{aK5h53d&a z;&Du$|D*)iYFNarKYTIHnz5LYbASG|CMHyB??;ryEoE|w$w}+?nTr)kYC%?3Ew)0U zuhdx;C-;qfF_7<-xv_hVH0Lobw?_!uS+z~M4^kULx{42HO!{MM7;XBNwcydyGB>_3 zGh@$w1n8!}>gjD_FeDLS3yIO;7F%(cQSWArGP`UU@}-93U~3g{NT8h%4U3J-auTqs zU)C%65VfGdl_7iq5-a;V5h~j`<4GfYSaeu1B@1R`FTwXBSUyaxQz1;06)WG6JY*AV}GH9>)eGR*=BHTmS2!afC~Jn!$Y@P(3BD#~g+c zdxO)!KnEu2s0*6Ga}cXa;6~vqqT}p*6agPU70@yn0^1E?y`WfNXi>MC>u@m-oonMV zF?kCX^CMy1dyjsnOYYvXMK*{>6uW^1KblVZ!bxLOz`x62t-)77QxIH3U|o{<+3#cK zGs6DO^llNwvA|Dh-l8hBFmX=Og0E43e?r`+|SjO_XOKfz>4S`O# z#jbz+nAqVrMAxHdyB2TP^OGX>!^eG}Y5ehLZz6vcm~{R%UHVK+O5&FCRH`&MX(^v| zb#3_kC)6~5 zPKM2kctbgw@$LF&#mf8c$1Vdbn=hBo>7S3bujPh3E2rs>1t+LY%H++CUt&*UeAnyevfGzz_1q2``=`AHXQg#EJi zeDD@EqVw{yVz$*Vgk!7m*8$%R>o_r^0#@islTW^l*qE(QjA+GThe%M4KIdKN$u z@>g+4H-A`O_aoSCMXhNzEpUdT3!9rWs{?qpOsgi z>tH*9r@u+e*&n~t+J~?9W%VkU{Gzn9@iIId&WJG=&^)dL7-~qAG8p%aw87CsVCnI; z5KDBwL$XXIBxEjyBFo66Jf#d`Kq+ugG!;o&4lq2W5Z4W96wkI^5^BKLzzZX3$y^Fh z%i-lz9&F;?;L!hcnHTVrke%pi53{YZe#a*Irym|!^%Y8i$4j8b#u;+ z-}IK5p-Z6+Y%2enE_o&<#c@lSoFi>}tQ<*KP4Q0~s9ej6Sq6h?+&6+nCFr(}-5cpd z`+TJjccYe>tSL_(-?$xpQcT8Cn9-f94dcT#5OgQjqd~H%aH|qpZkK~ z;`AJU{*HhhSUlroMAg`pSfk1-)t$&m5n0@!wy~Ba7Yjz2VjJ=VIy%5i(FA)ZivwqH z&}|KEJlo0u24G>r>(0lKnRFJg$7g0_P)0L@(Q0Wb3AalLpa zMk2f+6_jI7o`MA<7*OJb?D-X#j+F04pjNkauUV1S?FNujD`4=J-BO{ki=M}u{q!Na z9CHW?D>F3z!*eD0l@$9y zpmsl`mdNbaSCvrl!XpkytW#p6L~IqxqQoPua^Y+odW*apwd@$EOd#iEMl41{@}d?DxbSGD(!%m=D_Qdhs*;sYzY#)ArSK^uQ0>Y#01pvDrGS4$!c))5Qi|^nrE5Prmb`_yrb>4AQj1OEoI2r zkFcK@v2M0i$A_>a;kC#;{i&Yzj8{i@zw{&zLaovNh@_ft2J*&pSBu<_afj(u)ckt+ zO!%4i1;aCDSY_riw@6s*e?}kH&8WXfgKI!4BbhEkCMG3uOBI`}v`nl>QmgNpmDROw z7e82MP!FPW0bT-UxAn)uFshhsHB~Z8)LQw%$mH$P3Cvo1$xa48>%GOiXAOnZv)^}i z3`>M>|8OMcHW^~Kb>zSKPkke1TO(HyS7|*{MX+!9Zeb~uKVZwWP*ESk5k;sAI&pm< z21u6)`-paQsU_4X4a*ST(vNcd$dhS%T5O|@x@ZF{O@T%8m@{%LH8djNQO_E(98DO! zWm+9kRxC>-!)z;mtRj7}+zGKY`RwQCt;7?q13*aa+wTG1R4i$W2goU{XsL6;=&xlup!oOv@(H^aM%`{JeY6 zI;;jnm}VUTF<-^7mGwRu%AqRV%enUxTjb96u9ViR(_8NpY?W`YCgLOp+TCpH-W*h^ zHoyn8U#)Cd{n-O}&VqhDjHuW_L0+sXjM`;C@SiHfSZE4>o}#b$YjNNyR0HTK5>m0^ zkgmrpuMvO%c06E6y&gK$G!%1^jwqz#T9A{%0fP8_1V$uL=l=93Up1|1*`3AS0r+pTml zymLXkD1)NRV91ox!L2~2&klKd{6rW&|5d~)KL&eOi+5X%n@W8cebWEyN@<+CAd{;N z6x~u!GAiYuf(95!lw>_wxX#2R8)C?lb_fEp(sD`2TM7!jB3=X3Hz0@1gC!y@&oczM%=z-xRPboA@v4@F-Wz1#= z3v?)2qgK%vokEIOs?YHk2dY zbZV$x8?&rB>Xmm}@eHmIi(;Qk(PAhg_0pTJsyb0@8Kk(NRA;0%%!uMMN ziR-r7o@KB@430{`>WXB%xzA5v>q+RwBqzjD`gW6JwFy%R37N~lV)>mRK}r?_5KHB6 z%0vc~0u4=xVgSJKltTOk6l^?;FIXJ^KHpz^EynbUBT42`@a;C~lm*KTez+x*9lc$G z%{$QY{k0optoc>(f=@qcU$N2ki8v>p8rQ6{^ml41W}#S@@j~Ldq00G^)l<`0_!h& znzf|GA&g{oM7u;3t2m@2=;tk5y2v13GZ69j?{o8qgERXt%8*Fezn{} zcH$2`>3rao_`32>cyi`uFO-#^+ZMN;W#l!~))m6bd;ozV1~J(36Rvv_5=1t{f-LQj z3{wRO1r!6;ITM)}V0lA;7VZA_DC{yr%3&%ks+$oEnNm9N>bFEs-prkZ{qU`S26QG} zx=iX^MggUelHOH2M~;=t@O-u6Z{BggjQz^V=#CryrxmT7Z7o&SLuNArP??xyLkuNk zOwLNnB_VGmWM#z(TFID8Ks#!I-B|MGu~7J4G-=u&F(qwbH-1}7<~AaA_Z&=E99pyfQZ~ACcz$rLy)C4b#+h8NPtj46xEs{wy%zqpT->c%@Y3kwz|7TBju? zfhgukODW9dh8I;pLKZ+JVK+f6uTzL$zFuW^2^Ar`t)uTXF)4m>n*9u{gp~9?wU+H@ zeBDMm@)l!1_8Jq;eCdsz{0;^|QgKU}Y|uDw%v9>^dL-p1Fi|@sY{euSVu{X}Y#5r7 zmQO;mDljBS$?9?_f3qfbv4uY=Q8Wu+cvLUxR}0dFd|Z-4{t#o80S)-;MG7+Z|1ftj3=$GAv1I6Lf1>ngM& z|7O8*idq@;GmLFh_|5gWkjniO0x5r-xHh|j4;K~k7#8=wmBrF){r}qW_i;Wt5_}r zOJNkw&$En7Md2j^TRHZUJZ0r|2-v`Z{Z-;c%5B_iWrAI(-7HcIf6Xh>(8IX%m@#;j zmTGaDvRnuQNLiNhpC!5!@G(N-QwHNcn@HJ^Vznu0`FYN1rRGAwN4SVf$x4VZpB1kT zIfjcHlF-uMABhP&wE8%XGhOOLfX^i$5PX&I6=&$9CP6l1yO;1Hlh z?Fh_i;85l?PT03_2;+yJW)$Wz{GO3tk^q1lg*6skyQy(Ibd1LSrBveod z+NIf7(Y+oMnMPo95q0&;opnxHPhohH*-Ft@jL$m*8Z`U1e)IFlJkV37T z&KV5!+h{1Vg##bXV4dqr2mYQ3teu8pWm^870&{S&Wp={E91?l|z_Kt~6qjqLV@#|? z3bVmR2ZGRq=koNpzwrX;^4IXuns^W>5eltc1qG8{EW)e@kPhp&vq)OuLY8h4y zy$495mSUhboc9)XXSp=H4BBV0br%?f7TJPC?vA zXT%jC2JZ!|t;fB$7*NOFCDgNYk5uZih~95FyW?>QZzj*^PE;%x5^so=HfQ0mHqCu2 zI2gxQ0`C!-DP*aQD4$K-60`S2NwPR)&TR=E$dwt06er|4E*2Znc=|T-TC$c&)}FFf z@2c=3&Lw&12D#0UUH7jL->Rz~WRx#hx!MBA{wsGo@RJT);;seeWiYdAY5j~Y36k8W zKITBt$Uq|RD`1uib;9VlY(Ra>&Ewcap(YnQ{6!|YxFBT3n0S7FOh>m>!f;NOj06HMDxd^Y2@)e!sJM!y18ufyQso$M7LOi`O{e^+o3ZL)27 z2GbIzoW&um2+(a6?FQ|`M@ck>gDYN0+zyG9_U-)&^ z)d!A6Q)~Z+U~CwWv8-oDrAkCeNknfMUQiaGCm}(&SDTfnB&0-bHA!AYO5rI`-lb$E zXtJkFOc15NDN)@*sN_f?Zn?%2yMEf2%khzm@@{LynYBttN$*$t;E!Q*+h;v#|KO#O zT>AlhDDbHh!N_q;Y9elCm4Y+8wo+Y8U>qU+#EB8bLCEH?Oo);$Uv0+ghO~XT&$bv) z1(pOUSydc^z<03upqxjy6*c0pTYp$6m9gIykkL+@zYraEG@O-$r!H`$F4yO zIhDOA&e4mXz97sy%i( z3iwLZX4{{@Ok6nnrN`u{&IT5ZuD#xdvoxm9GSf3ziK&D@hLl7pgK?jUiEBAzN$FCH zBds)9Gig(NU8FE{Cx$U6!ylvC@^p(-?} z`CA}v+)4d=1RFm^_b6rO?53Mfi#cK0euCyX+bMJpu3lF0TH3qLvB%_u)d^A(-6a@U zl1(3rgu5>rA&`UoKFaY$3WaXnr})&?hqd*~v_ZSGtzoCcB2$8Mr~-Fwe-1rw^9nG0D+UZUE|ndy_KR`X zWxPi2^K{A|!w2mj1##1BN1SbK{|^^I>-cwhs`t3rrSLtm6NOIcW1=MK^G7WX2 z>BAJ_96l=l#lKiWv*z1HFxwiIbnVje3+__VliUimVfd4gcJLmK|L;F(mzPPDHtTvh8Ikio*fLR0!xCFpK#xkkRY-lO1_NAmZ1rg@+O~zm@2R&NXe?= zD8^%Ex|?H*X|^zmSJEdsm%|I6)2+~5TtRIzcl!)7{5*CG?d*>s-_YHptAn~{IaS! znqZ2|((1=m4r`&f9NGZ}RjLiYFd|RL8U~?%_i|}WorLZiOUFNI8MiAJ)snndq5{i& zJb`i=*R`}|TlZjNzRn<&@_H@!R8Ptfl`xT{6!;*?NGC@k;hwL(DwL7QNE&~lqGw+= z+va55EBi?-Z|IJm9c_k`0oKtd@x3Te9iS(nhscH`mRT~S)b^N)Nyt+Q1(wlAIoe7^ z5ovH_%tDyr$RaF5lPG1=MTw@gN-&_vQ@cUV<1?`9*%Q9rKNe1hP4ArjeP71zKGn`~ zf1f8QvjSkcB}ozmZU*nmRl&n&YQe>TGMyY_^A&b;9 zR(Lhe;=@V2TE_7<^lTpgD0Wi&R)&;-y3{El;0zzL!4U*l=AwQCP3t#lZ{9QBu*jPk z(G?`J+=0|+Vy_*H5%abfSaV*iU@ii~?OtqDRCad6Vi5*vNA;owzk)*n zTdB3wuuS8+QXCr@6lqDx!jQB&Slwk1ia^wms26!bQsqT2Bw?y7RT18=&Pb;yCt+Eo zqyI?EQ*XnIRc9B`ZGDTGbxJPGNRTM8q)$v%-c$lul|(6nai5JzHl$c>N?ImXRLG+o zCQQC%E@4uUq_$d+F$-Ku&J4mbG*L308w1BgvQi*xMmoZj2ge;+o#8ck?f;5B`fvAM zYg@l$INQoEk(F5nY$+(x&ypgEg7OBCiAhP^3>kKs#^fmj1=5mWn`NBlxR{{CT7yNx zzWmriB(oteLO3$-3D zLaqBm!r>Y*0PUsq<)TxdICt1>9f?2Nid|-2!k|Y#ZdzH@l~p-*bylXL(3_JLB!1h7 zn9qtaKRr`b)NHk9YLHY5*$0YNkxYw7)0>6_bO0OewII{FCs!iD@`j1P;-xsYlw?B^ zrm{q%2=_UiLbc=1Tj_*;6h4Txx)YBLd7XcK%mgDpye)2)a{4W6S7xSg!78~@e!_h= zCfSfAZk7W2)uyFoVx=HA)o&8S?;WLOF%@V?MjOJaAr;APW>B(a5SF2dl5A&Bi6&Ev z7gdmv4hH4Ht)N?x7Y=(rhgq9j#c#bCJ(B8oTb*U)S~Y)8Gm{o2aWmAggEU?QIn$;J zd`pVNxyqZ^neo*{e>PaX1WzD>@4GN6=aYz^<*3xWn-M z^n-)F#Vu>6$p)oEY*{`}lOEz-WfNgc%dF$3-|d7T2=D=%Qu2hdnOqe8nk1JL5$spE z-7moxk6hp0HY!tXHVN5n{nAJv&wU%x<3h39FkyN64A&J^rRSMLqB|8%^Dc(-Pg6DV6{hTj1qubi}s%@=(`52bL{B*n!x)-+; zuZmcMQzbZ>l)+%h#v~ikiyKuWCTFE(oaso&Qw2(b?Up&Fj5ZfqbxMiqW(Flw24NYR zC~^5F6{1AsB?kQ`Y^UH-iw$jEkK>G+OOSV?lW7f{X69=-F-ehkQGL1h6dd zmpehhrMez1)reA_XKJwRKS`~&MzI-66kTC>5f2mdsu(OcAf^XXj~Cn<99cwPOG4u% zfPEobOqKq%q$pHN5U!|PI*12$_g0;#bNp}n|y3QWIC zw|T0Msl>82g_J^}W#G!{C>2HIx#1i)A-ped6h*|6f15wLQDbN+z;A{GE~)Tqc>|!@ zw)mb5<6<6X>jikH%6h1c{Xh{|Vwp3BB!z&{#f-rqwq!^lvLQ7bQcObm*Mg zVy;8D+r}o2YG`x`IgEY-jt(6S=t)Q+jsw$E;z&~=Jtilj?DV7;+n18wRoiB2W6NSB z>oQ-3;vW5zNY;(`Gs`_CDPG!935v~PG6sVy8}8HAPt=5du2-6+Hc<9>VGs5p-_W3qxQEiIpfWTlX6nT=(a zG9GngGi@LeQRa}S7MptkvyQ{B9*_XlO9_~Rj|`XrSfVVuI&?a=t*{{nTC6-kw zjPV(R5p0P{A+jO8xTQ=^F%^=Ksv0t~5|)1VJ7*_|(%;-v$>=bYk&03_Bw?ymZZc}w z`JjaB{)+^i7rsA~sT(KdOcUD{KaH<3-10&7EwE|#r#wk)C8VN2bpXRt3Xu&-;-;ic zsm*vjOG2Ish%f}Rtrc0padDwl=R+C)+K@Ec-;MEypYn#IjqkxQ=#3XwG%gNnZN^NN zw*3M1bVL>*JHpVCO!#9G+=LHkvs4@q9H6QsMw(n4=x@8W{b`^N|B&2P1K-+y1;+@Ds#tMX1 z;1l%0_m6F&dfV?IWUy@(?C7?*3dd9inlIL%i?fKqeiI>iT?*@t6yyW)kWfGcXvzR5E8*y+OJZVzDE-Zv1@c6Kk~ML5 z+LMN;RJtTgL{ve}jxAtGB&=VJiMxl4k6k0YP;Y%Zw)%NLw^8dOQQo91V?ZVJ8yr#{ z!0^hYJYh+ZMKg6E<} zUt~?A9r>CSM;AsyBZj3SL^d|u6{ZiF5bgMvMe<03UZlmdmNS`*1k2RqmLOC3B&2B| zWMv=;VlT3mFjsTEbU*`iTaf~7u5eKn(`7~Mn6iT?vJkTcyY2#SiP*O*6E`;YO@5x> zy%eA78pk3^611_FDb~X?A3qB?b_-^=jQh@4V43c_;)7Q3yBy^AeVl`)ehNkQLTrIK zXhRNPA1f%O8GJ+;UQ_}Kfguroci3%>+odda`=NH>s!*n1Fde)8F|kjbG855@qeh`@ zD{d*1tBZfv(2T(dw>Tt)$cFS>no*mLeujkdOMx;{22foBtfVY&5(-|ILL1nuSqMxd zD6R`UX^6_ifYK_V4T@5=8!uZD%XKbyzpx;qcVcC4_>)_4vsqr+Q3>^5Uoe!F8#g6p zr)f-{QYf;ll>gA=M+psdC zm)VfK;;pivt`Ee$CM88vcl&u$num)N?}{lFwg*-=s&)(IYNs&_p&ihme-k&YusTe z-=bY*d4Xl9wUDt{cPUL{5*r*AMNAgTfk@p)>` zG4$y-%*yn#mCjd2Bz$Vxv(bwoc6bLATSjapWDEv}C09SfW%mo5oK4RKrPv}HNi5(!EXxt=tJs8qTnOhi;byLh&huiLDhev`C6cD<3i?uCXw z!iH*?Z50bluU=N%q%8BDF$^sMKVvY64H^0oHWO0AA;lz1lwScGDFd9W3XT%=nG)PS zqRxmGOLzX&5HB_VJy1=L{7e6HesdACtqz#Q3cwVE_k#J7^C5t();VCy3hMM~JN8CV zL+v8d&!r)fIq*0*u~*Q&3fMRPqifkfqRe)Z10%_C<(Nc%~h|tuig!jYJmxlAIJ)_km`$2I?Y7Z$h)$m|}WpQw}164y}{K#VU zL?O1z!F+9aokI8s=qa&8r$Ty6#FRk?NJD~@blmqOq!9T^wv#ByN&)L=vIZl}k}IVo z8?qP6D83xVWh!j|)pdQel`e^i<+6ZP9KCevS&qO&0tpJ7ZHU_LTS_a)dL-|H;Z#)-P7jff`=@7#yg&MA+e| z&2ybiOghxxJj)K%psy=GiXPZogDXEqCO^18bZii-lKKVKx;8mfniF>LLG8F5oUeF$ zm!bMuV#2Ez)ABaO(0 z6sz6t^=+}LWm#Y(Z?=_o^$jN^w#UI0=d4*^NF*qkbEDKUMCGPB5v5=RAMgZ)lBy>i z*VSrENGPlnC_Q5^!VJ0k5v@!}iCSi2iz7wS>BWk2D`6HC{RTK$v1=-Mj}(Ee72N@w zYzHiFaN_bUV?I1O(5^Bi9_y*1+9BRl(QD{)-Ng|E6>|iwf=N5eS9V__QR96^*8Cg$ zqP$dS4a-XTzm6>Pz@aq1|Nr*xr&Y4%Ob~lksU($T(Q{kwZe&LG9LZvM8_ZA`dk}aS zhcuc-7-P!N7@HYGExi~9EyrM(Xjx#{c=0mc^s)@I@M18%>}7$ynC0Lv;P(S~G2RWv zSdJ%ep1c`1&N*>z-u!p#{l&}8nr(!MJ*R-_$6eiI4yB}c|svD4nP1(z8^%&KxP*dGnjgBsz1J6~1ep&rhwg zJ<(5HLHv@y_D?sL0*14kffWyxcqzSnyBjW_b6v*Vgq{1h?G8=GUPt#uV)Y*iboTb- zx4dp;KkDu67RFPrh+p;s%J`F?(A}&nlAb5z3Y85f-O2q1R=m{h)9&v)yN+Q++Gi&@ zYJrbO%^D}gYeSBMc+L-TXGMEGL{Pjf#nU5|uW0)fs)2CZCrJ@k^_17RJe1CN-PfEl zF#?ZCJ6l;^byll_cXg&Hr5b2^X45`^%!aI~Z1RVMqppMVGM0GX-MQVLr+ryv!ni_? z$@_uo91k&6^^B8Zpx6G3W7CdFa%5;K>!ocAE;ELhRqdqh8PooG#5QSD^o}7p4yuqf z?>Th=vDU4%be*E|3Ww`dwxY1fklU*E$CEWyo$*{fb-Uf)CwuH};}zs~TJDz;uTgdT zSRZ~?N6$Px@uaQZv${BcTq3Hsf!)W795W9FhKCEPU6S%^I!Z{2xW{R~h|b0Koih5S zOdcX?d{--Xa~vO}t*#e_*xRdxkeIyGzAS?&)~^aKox7dHz&6Rk-lF7*KfbNhOUT2n zQXKT!uChmz_p?%bdtCAT(A@2(Kbnv%!JR52$^LAlICxsx@(<4Em{py?a=aq#e8_gS zSar62*q^|Mf+Ki*T%|bZ?5!$!_JJKI|6$$j!zMar+lQITV#22=ulyd*&9BN=j^F&) zZJ&05KP-`7MfC3XQtVf#27X!s_DQy(RnLA>EVS6Z`qq7Y)#_!&euZjaI!P0=-DvOtvE41^cjTg|zEkEwK z%JEcX`$F!@y&OmTH-I=;Y~`hTh=6$d?B6NM{rw8nK=lrqO4#_G`Z~uO<9ZFaQ_>EPPE?nmWjv7i)zCW55)7oNS}!?UPQIeP2iai#}ppbJH#5G96{B) zLOE{Vq}#WPre{AXR_wJL0Y{{r|F;=?8Mos>{66lDPI154$-d`5J`BxqP?db}&c0wa z#Jp~6)*5FFai=PA99jCFVsPAKj)T&g965TcEww%4q%B*-FSQhd6KQihP^BG{QQ0=ND{7Ed*Y5C1$Wie}ro2sDb=(Q99 zkIzbzBS%zKZ`(6Y`dP#-xBTN20Y{`AlhkvgviSeZ-BxWO)+#N>O*PQ=%%>exwWhlm>2>dd(G@ZI<%SW3JTT?%>PSZLg6TTxn$(oc_aqaf?c%IiZf z9l7Gkdg+qnd$vG&_6pBk;0MoL;7Rj8JSqBQ!JWSgcd|UsUMN3%g{LnNzw-XrcAfW- zyt>@y>}_?DgTT4Uwio3GaqfOT0x7mqU0iqfR^H5W1jU>B5O;RhY%4ycwg39weo`#7 z*v7Q+cZi3GyifaTzd}{d%Fh}ZSm^aCvVq~dJrj7qQz9Q{>NcZoVe^C-N1(&QjYOMy^p82#x%&ycc%viVE zN@aBck?z)7JPdBMh4|N^#pCRlmX4Y9LgsupX`T6`tF2iqtqD4POi6pp)8@IaOsifG zPruvE>rcH!uT@#EF5^oAX=(5bV^?|?yxUy*gW=Zh*!P=f!*`iaT-h-%h0osPaj(G7 zPdhw+i8HM*Q(4-k+ul|e*W2#SO^)$+Z6D&!?qM_ik*2!HU$~}^g*xr~zm9+-(#}`b z$#_3pZDD*8#iqxB`0UmDyfQp7B*MFGEMWgxb9Y7_&dWZcyH*KE5%l=MuwS7XI6MnE z$GpRyy-W=B+Fgo>YV~s5Pg{iy)j5s@J1w;Zml-)`RXb^Wo>*D+;{b2Bi${|Aw*9}Y z^%xi6MBQGwvJUUMOcK0_HkCD6Y zQ?{>@p78H!=oe~RogDQ3ERFB4;BkEWOIQfrFdsCj?c zA054xBcQsvFUR{8s)6bv|2ZF9%il;jHtj=Y|4(}2=Ye-+W7QU7t!VLvTMe{54{1y6 zP`;iL(!BsbsBGB(laM>3iENR6vCTags!dLBDaXRoXMHhc3{Iu}RQeCo*8fNSugA28 zzp5-E7TZupn}SPUE?@OP+jCging@MdSroq1hB7!++0vYavDC}AFn3`n_O#SamG+50 zX%_#R{x;hm-0UZ>L$7#fU%s8{!E*V^W!wvt*YRP05O-2_^kNV42`V2>u*MNf3@3Tb zdB1%-8q*K`_mlESxK8`fOMA7-dWBtK{2TenN&Bb%$xGhigtNQ}_O-uA&rdx3_^F_I z)$h)G>Z+%RUldD`A)e0ri|goEY~|6LBcQstF30;7s)6bve-n@Vs%JMT{W?}$Y_B%^ zzuWp@h{&>5EVm-<81nI-{_Q{8jB~r63nNEa{q@_X;24P1)j-=brmdx_N%J9*W3k

0tp=7d|h&KWIP)AKXOzqOK| zy3F$*Oi#`D^@=~swLt&Ce^cG*epnpA*}3@nqg#_OHpGBPc|MxU+ja?^mb> zB6W(WNHTxf|FQe?`ftnU)>xuiy&SJdJ0J3kSaGqv+T2xJh_#}{rmKOr=P+#^CMO++ zJYa55*VZd0&9%3`|EenBzOr&#N*iKQ`TkI1bo5$^fX5GpCP$8_>MXQ9`$-YoX|GZQ z9HdQgf4{=Pz@xPLknjGRzo;Gt>;7rEY74PeX*q7HfsakkKJALiN=QCD$M?UwIF_7m zzo`qjuPn{SUt=WR_s-t_#oxSt9Pbm|#UuJQTRawCe9PS({C+oLMZgeGu!EKl?oZ!M z)7@w2eNfIh*zQ00wX8EsvT`$nfy1gK) zr(Ec$TUowPAS))65*!;WyeI1nR!%H4jFS(~qoMORvhuU9UM@O&a2#C6d zxU+j)?N_JXw|culz!cP&Dm_enIl`ZdO2Q^b_{v*@B9Y`C2t={D;`ZlQ*e|K zo2~}ho-u7*@;K>jrC#kSLVmGRja+kWy0VBK;-)GwI(jWdKvh;b9_y_Ks(N$Gt9q(Q zF}l=J3?7j-lKed8e)qrpX8kaT&Q8m1!DU8{S=CP3o@#H)=eXWgth=gJ1w^`S3!z(S ztGDu;g8#)IJ(~Ug(ec#bil@!gv}g9Mscb4mIl0#k& zx%Z1aJyzFtq5sG9n@4B$C;bAqzs7JMcKG8N`_5zTeCSMi=ILqP06OV5n}1p&+INc5 z8D#Ci!5jAvHbV)i-^zEBKE}Xei!-oW{r;CK47>10Y14d?O^zu6HpHD$*`u=@y>;6@ zyGvkwT!KJGWGml-K$H3LKRHhXIyb8(6nTmHs)x(?24nQ{2o*zTn5NwM(Jl?^d_ zspVJGm2KyIyWIY?e0>UoRsX`oru=op2>ey~Dx2PHeptRr+pka!d=*1ul5J?!v!4`U zi!J}vqtot_w3RKUjKRO$Y`%)`;}*7SmE+j-SLJIz(Dp2(tyXI=x9i5*i*2s5#Yqc; z-ADMs+~bGR$==nTRKuS>Df*JZm#A#G>?^Lymyz?WG(?o?O1_f$EZMGyirM6Bpa}hKbe7jBeVr5VDvt20s;?Y73)gvy+9r zRZ`7rIr20i(Ye^BD|>T)Mi*y(euMw>mA2IViJr}geo5!}sJqfWuaowX?x*Wiu_EiR zB1Xtg;Ge&FefYMRQ*22>FZuD{XQd?BB@Zpx1^7sMadS`xVB4wkO5Bm6gTM^W=!% zuNw!wHblT!K#GH1%kh1`R79+5)lyM;X+zvJ1J(7+{3cUO?zU$2GGmBY%|MlQ3`zg_ zf4$kHh##@zez&!2l_}#2Ii?3-)pJ*oPF^E*LSn^ko2;xm>+=iv`#-zPo_3G)rDQ8Y zmZlp!5cqI#f9+lV9jQ;9@y_tNpVVGnWX;c1*DDP5;oQ-uxdHvl0w0!#SB<7RD#v^B za?A0?c#&2mO5K!0o(Y-jp9%jQy0?EN|7jcPpZSONo)Y^oYj^K7FZQoDsag4R@AzL| zS5Jx0al7}AthlYNzgKNF9$3}IbvaHkvl$qocT7@$lDb&h6g5O;@BWWrljC6j@gokF z+prlTVBA8AgVM$o(m1g1sY91RQY zO*2rR{OKK(NxzAS-PWvLW(+Z_85q;Ps`gerkRl|$I_+cq3r~k#_~A~rpFn5M&RE&c zV&37TTK#clsr<-5zm2oe-=N$SYnvRxR|Bf~q~ImsI(>TCecy8Q-m|Y%*$??0wYP4@%XHTpdjvRF8sP zz!y`2?cS!QPR%^BZ)W+p_R>C6fw&B*F0Q-#jBB)bq{R1JzP1eVN=TvE*cFmOQ zB5vqtuCn{T8W|7Xr+sXVt+iM8n@yS=7@~JfQh$;gdG4AJ|<%J!?o z+-{q!tUGHuApW}T720*u{^|-lU6=C2mF0C=PaSoow5NJUKQ+hwJLln)xWDG^w^F?IEw$VI4brz;*^*~77MBOTmU;nShw+a` zZSp+tHrt;MT&m|hX?u^Ayj0RT$9jeBKFBmBl!8O5x&Cefr#jlJ`^_drU^6g8@0eu% zquqD5F%di0;_r|*tCzkM6Pke`ddIXW4yHoB+zc_a&_0#1p?qzBi&}W4@)fQ6Ot^i> zH9aZfrqZscET%5EPi1(BkZPCr3GdH&RZv7Owz&tx5VNWr&6egjnPT!{8`H-Bbxjc& ztCu#!&1~9F)!z2!WmnlRWA0+hWi*~R@%`acmitfGTm7d!?P`<7N4~|D%dXqC7N;x@ zUguXMZGR)pVqHfK##vu#?>Fz3hOapGe)oqUS1jRXQ^ymf)8ZL%n=M{@K9|;edOdBQ zyBG4MNvBP?lr)ED*{FTyP?HSSC@BJ@mJq@l^K39R^IvX3(v-2MFqV@W9 zesBTZBL5fvf876!6bSgwO^FuBh#i> zs?2@URQ4`LmRfqV8HNZLw~*qXv?uIs;sa8(TC=NRo1t@)%J>j5)mqU}cA4XQRbq5> zTeVb_RoW0Y%|Ipjt?3=aq;7kiCRQ)AhVs=(J0B8r7u!%C4%HThU3l!I`~#`?fbo%U zVQ-H=El;R7A-gp5--fd3iOSN;`#(FucES0bLXt%CKV62)WJU$+kmg1%v7@~JfvJI_zQVf?#zkU~YmfNd# z^)eyFq~)}SA-~KKA0-cQr~89Uf3mqNfnV;okYZM8`xW|otEGmRJx)q-a8P`DU9B6V zOKph3YOUZXOXL`M(o!*@UMk8eZHSvH$3eaKNe*{Vr;T~t_NrOEOc`QYGf+L|s*s;Y z{8(As@3ap?yH=Spu8?DT0Jc3t^gf}oRKoAZb+^y8SYV<38&j1TS0VeZ1GVzl#$Z4<}sfic58$iN82Uaqs(!4iS>#X4|@Tj)Bkp z9BSXJH2C2;>zu&z@hfg#1M_iR(a+l3M2Z!!UK6u!tt)lU+WnunpSJGT zskh$H3z#17?q+)0L2v)G9t68v3H;rkSBIa^K|HMDfstZM-IwzSiWY&@#dSGO<*OYS zqIXPEf0C-w=7{UHlJ+}Cq)oAIQ}R$sUUd;x0w1=J;-Iv6Js;xEioI1OSCdk#Fjd)R z6aOSmr``XH17iW{AUenWs>FlA`McFpQC4X~+%yB#mS%P^PKpH<+FiSPi5_CcxI&79 zX{Py*n6uQXEeyNJCdr4UXB#@7wo=V8*>&`xShl8A0i%K&Ja@rZ2#&W9ZT)Y^6*U&P`#Oy;(XSnaBWY&&CM zwteTJfQK`iGs^eyOXwOKJ6Z4KBQk+ zIjt3Lto4j`_l&vEnEHp$n0x%x_wnGp$##j#@^yB8{j}tXy*B~{h{0D4Pa^? zRo}aEH1#%+BB~h}qIXO(USmA;q!^A#-S#d|tX^iNn7N#GAtWX*wr{-M3=y!~!mAVq zr8RGlZBL50Ggh{#D!Yd$r#dQq(d`;P4E_Li*6i_UIHsjzCYf_7fn!hWrRrOiC#{M) zdC<$Y`{lex`wlT5`!Le{0YD7x-hA#~;sY$+(R2BFc++Wnc9Yg!gB+W7zOtyU*K!0@ zPUZMtFJHNQJq$E^OY>=~)tX&x*NwG%Ek{7L);Oc#9|sn{S4-_qNsbxKK#JZmN&BZ5 zIfi3W5OiC!dYO@9Rx^;IcTAh(U^*m5dM!mjSu2$j_ddr#Y0bH4dve50r|njjVs5YH z6cN>0y(z{Uf!)Km=|4wDKF6ZgytY8Pb^%YxAAi(!d>f9RdV!hG+uP#;FZs&wIQM0b z^JUXLHQTC-gQqTfRD8VIzMysuxRkF0badMCG=H_dPPm$Hn%%@I_6PASLD zPKKsuzqb?%j3wtds8(w*OGt|FUVD=xqFO6DqBYs>yF0hlQoAQnjycUhirz8F!biJr zMO?2ntCtBmCN%>oddIXm4yHq5q}NgeRBM&v=3KNrIp$5LtyY^3iOI_?-=zqs)>@un z^x6XP-0!s988=Me>n8B-v_I&00lxSZ+ApZZo5*L}@=5mgc5|9_o>0uEC&WGu&71VN z>k`Mi%fWuC6IA!KkL5F!4gFF@yi|ea;<~$$R3h4|`_ei8;c!f{@X_v^X%{N%rY)s^ zjG%D~+qKGZ^C5Nnt8{V`+DNSpiIo;xsVGOl1umUp?gv8{fRw{d)BH(dl z(Weo3w!o^VZbJiak zohWJB^^>`QeSg~Dd)asL8Op!iKWXSa-cFA3%;(Ip^}HIdL62SFsCjpr{$Hp&YT;*3 znt%H^>I4nXF6gKQu9WsdPn!0PE%lUUDtoiZWoE25l&}3|f86i3_UgV&i3dqDkYlZV zl6ZtwJ!za2VcpiO9y7)jQXIrynBljr*K!2xw-D({Ym>A+G^N$)mCz@y6U z&vxU`TWULAEfv_M<+y1EQuK~V-ZtkY$8bzq)tc4ItQ<3&ffT)C+8hT{A;az*#rS+n zF;uNpj+>p_H9a}zO{IOb+H^=v?zI#Fk1OjAIA+TiLq$zot>QdIA2l({ZH-U+*;_^>(qlu;_)Iemuon^2={OzRnhI z_PuqMBljMvlQW0O-m`aJDeX~2XUB*HGnKvGgj92J9W%PExt5O^@wjdVa*XVg%zw0t zu*LSSj5e#sj1_6;Lq5g|OYIw*U*;I!Zz0wyt=&o6GoQ9vt=U!HX6W6NAN<}1R%_iG zJ^IiS5#2VFh-#@QtF#<9%|MFYcwoh@+w+p6_uOgsNruQ)VMoVed%gMVDFVhVR8Hl%-AUUso3>u9*;OQrujTgYZORexxU%ipKHTIs zVtK9IXtmVtjN}+=22%8nNq#W7gLP?RYO{Lj%jK&bNYOi{&2iAoP0`zH`R(17sa~th zYId@)w;{5O=lFdboT9R_OlsDOJVW%pm^N%5KIz5Od-g)(f!eg(^jx(g^|Eh&yFf2! z#dthx_APH8D*MCs&aip=uI)W<&$g!A%Q5rjYsmDH}qkO-Yc%5Eu z{fOoH=O4{$QVeT?7Gly74~x98-gSp=x3UM96*ay&)vNGB);0Iy`t~+ky3Mi2b<(Y!wMJY6g7>^gI)tijKRKE5X>T!QuA;m$w?#GG9*HFZ=VAUf)`ZeE+824y@|QtD>G3^xRsK}Tz{{c*<8!VtaycO26Bw-lPp}* zuX#ynR*%J3q@54Ru|lWi7~gMU_de3@r0tncTdmgYYMU^U_F9gBYOQfb^`U1C?RH|d zRFqX(j+LobGgk~T`@0d2n!F))JF0~Yc)mr7aITvkDj(PKG ztB1jlBDQ%LMCVdVG5EN$%@Dn}(qd1SZ^PwNPG*dAQ@7odrS9w><@-PX>IaIrhQOb% zNE)vMk6U`&aoyvm{8i46rz^F6mYiwb)77u#%QW!7ZHi>qz^;+qqaWy-;0W8#V;$D%22 zb{?A?2m48JXR#HxAp$Cca=c%m8kkPfgv5f&?FSPDml-K$RY}^O>r@tnZGKh0@;Ay& z{I-p+%2&U=+Xa5Rg#NUAeG077_A68azgI@~Nw%R?&wkQ&iKUyre05)6wfefW`y|!e zsH5Nhwaum}xXfyI()P@!-Gj`BbSuk$b-J?d#F>CJ(|_jfKRxsM)61uu{mpq7sVrWP zuF%e(cK1?L?QN)zu6B^7Gry1S<_%yv_MrDux!-;mFP+Uh*Int%xH;flWo6Qg%u~upAPTHRNw2gX-HJ@17W86qr)`_*X+Z0&y z7sKC0>DB91dB@m2>TY9K!)=uDvZ}bLGx)OT%B%1-3hw{F-u)ZlOl|G^!AxM)J;YJ< z#!-$}yj`T2SG|GkC&fsw4H0le+I^C0ZuRQ5l)5Rn1gDr#4YWP;XQ*2(pIFM54m5WbK4YL;#15y9LP=2vnpHh8oU`^9Tu=n7ga@T`0u+r zx04TsCdUw~RA-^>87D<(uYKp(v}2MS8LD&KOSv7dwh(KTHpERe(DuxyZPart_teV1 ztP9vx7At+t+ozk||8TRFDeP-65HDw!Zy#5rOxG!GMP+j#U(5~Yf3X6?)Cbu!?^4rN?!H!51+#=Y}YD%DQZ0&NKMZ|+E#t6>^~aUjqi(XuCncIW!mBqrbjzzsoXXh*{&gNO3U4 zP1Q4-_Tkwu9}=rAw!_MP7Ll>mt+h3OsB&vH-%LHjbt{Qy+wtwTuiN8g@02Z_anN#won4f)^BXz7q~2rw)bE(bSNeG7Ol9RQvg~Q{Bg5krn&TmQs%!aj z91oJAeANTtwoj5`xa!$YijhwHCqo20P8)xntsR(7Qq3(PxyuKx9!i9)fHwrh1~%m(~wcI2!BS4tay$@vN` z-#>1aGM~7znb-8C3QjS0owT`>9#4r&x71CVFJ00x`EtC1-)6hgPk-Mg#_6l-K7LQ1 zvaEQW7m1SCqvE zt!#*hNE~aY@)d2x6?}@gsn0>fW{9DM_Njcx9Af;4wDXn4f{X2Y4?l{Vb42dWZHoKV zPTHRNw5@uzt5r|8_`&b0fcwf~>4Wn!Mr?bRZgLrH%PO7Y3K1}Kj)xd(c2HJJF|gQj z89g@bd}WI%V=x}KO~IvaC|~tJ+cTeb|7@5KiS-xTTxE-s<_F);4;?=eH7>Waq+L!K zqc{5-nQpkUzUrAH;_3flTYj;XSB0nQ==ulw$|amOfB*IJEZcp%`PLcM?QLd?ZmRDL zrFE9PjVd}tUTe5_TBqtdX3o?)x78OHZ&zsBU(tW@yhHWD_2TIl5)Stl;u8^fZ>B#o zOoTpkrE+(O!|JlX9IyD4mSW!YHGPaMwNwU&2sk3`d}XoVV*AA~{fm!M%&Kah(~rS^+J(xZ zp2hY$O%z;a4CSlZ$->@p#7=@dtFlK0Y}blBx%_wKw(5+IHKuq#XrsWi-KuB$xWw7N z|EQPY?e@9Ri+bXC_m>Lhx7T9o<;~mY{}cCn@$g^wGNxX@G2=rI@0dkTGpBpG9k1%2 zK}*-!!`rU!b?W*|vQEE~Twrsz`h@{r)%D5eC3NF1vj8p?{&vM!nD=ddZ#6p|=@#S;8 zs4djc8;T8HzQqIOHrtJzo+FWl-n_I)y^tfuyMF;bU+ctoD?4Jhm!C3pQ@*Z&FZR=x z*LfM~a%_Iy54ljp{Qoa~m3k%IZQqAFQBOVv-Iu!hv9yqWes2qt=7(}zB`mgKar8C@ z`{!fWypAiB<<_-$G(9~!ZVq$PbN-I>%oOE+Io(R@pRrw~w^Q=1&`s45uQ53W_MZ&m zpx1^7sIKnI@qUGBAfAT%B&i%sUegboAH`6o4KZG=UXE9!oe$Zr7OO6`Y74PeX(?{1 zfwpHp?H*)4lt86bKj!rr3f*HzlX)#l7f z;>EVBY>#^naX%%+w}XoBdlzp%{n3PEnGr%cX3i0pp+nI+ZOmS7-rjs-wiy60r|8TQu){24HbT!cST&c2c z*+<;OBjNJx{dLm*;pKO_GUFvG>%L^Hto4au*GZe7Smn9GryuAk(%qG>9C!Aw?s2f# z%1hx80kP`-!lB&XuTTwCZy~9K)$ghMNink6zVY_xwEHAYWs4bO@LirLxb&y;Rqv$j znNQoQA5q!k0wP^$!zRaKH__Jo?~hgQ{3qYdyOXbY)&=8Pq}{5(3wtnKn{oF-b?q{G z`PW=C4D*Ym7^>d==3^g5a@?)nILh&ww~OWtWIriZ?6n*LN2J{+DRb|JSY)gt?sr=d zzmKTVDejLeq&V2V@HIV$X*WZ}&4r{NSGy_+x8X5mp?$pDU)UU07Bhypsj@{!ucZhW zm&$QaT9YG3Z&h#GGfvvFMf_4rF?dAUF-bi)DvPgftF{npm6qeC8fbgw(+)AaQm=Nk zs;XF`DqvSx^ncA;zTN#_=koA&J?hF%@DPqClC~i5Zei?9L-9&C-_|@O^8EC}kt^)& zF#QX^pHEu<7yZ@p@&jYPKwKZ4u%#!jwSQ3=o;=lrO9>qN=8^9|kzA@wc}^~nrdGV~ z+}>6f*Hf{N`*L(t7uV%DUWIb`st4jxm!fz38nL^k|H-~*H!1C3K_YanO+|NSn>@qZsM66ah!1ovp0=;+SsxqAj@0$uY0mN!v4jfPdi;``o;H0pdc~7I&rUx1z;N;v zKf?v{{899dtHk}qmNvt5Wie}r zn{lZW2fda{IVpmwvdVF@`y5f#$!~kcNhxBN+H=y5N$QfLvTpmLL{wXdwMxrzQw_8| z^J#~eU8z@?&#EkzzL|FMxA~jd`O7aPz5FfA?qHZ5IcnfdiYIfY^{?YP3mgomgZme} zd!@9iUJuW}&_Aiys_YD#wdC0ZuJkT=rL?a;oelDt3(r2EkCTdPwkx#jq#drX({(9l zDofi>B;~gKq!N9L?PH8qSNG*O9wfPZ)dST<{=zl=$C$a?UgsD;BJF%-%L#X*)fQr{ zp?t-2r5b2^=F_G!x&5#;l+L%~x)IT9UsMG|y8GI8x8qW2JFwzr8(4kPDwCQVIaWG6 z3%Tiem=v+SmLlNkX&;hQbEC3VF9C;J*sfJ3=JHkTZS!YMPakBVvPjx#sV*SW9p661 zgW&S*JZZb9HU5(GRa#fMRMw4oLgm8~Vow-)p0v3q(>?De+~U)gy4}j2whz&tp+H)* zr{}%BOlIgkohK*hTrIH1_x@sV^^yV~1kUrCo*oiR-#bs*>0A%J!^7PhySG?bief`- z{~0SfdM!slb=hBz_bXHb)kXgH(yi**PueaS%g(j(cV%*nRjZfd{R-8~YV-q!?Lh`R$ucih!r5eMnNxjmo<1wcqT3D&7%S^ey0L)t>Xbh{xps4h&w;gb#O(yqmpzK17jV>g_vP+& zI%-{$wgPWf{8j&-ox!U6TjyjEVshv%(e_H7EJr^xL#94j-cw|x*W&5P%dBfK=r9-{+j;dn!lvpu3oaONP8IaaVT^4 zb^M}t((>KA_~4L!7PCgD!xhpvuwQfEbC`BBL|kO6K;}atyxW?!#u-E0sj`hDOW#ur zj+@MJP+F5CM{jlV+n)WTi0!o$0Y{|WC#mMHe0BZ7UA2W+tF#<9)j-=bpLU4ZmHIK2 zJt|;VSuA}!?K&^9cVXLUA+8-M5HCmC*H2*Tyg&PiwB{ZC>qq^>9WVUvlA-%f_hw=C z6YF>g|Ng_>>3ca(_cy)gsIE!!`E?9e+ETt!-p?cL+RM1LaqComvo7q#MWv{?y0~7m zg&aZE)qOdh{|J^MeET*ye@(yQbbT|IWy=4Z+iZsNwf%fhzO6_*AM$q1n{$+w%Gcg0 z?l(JWd*;)oSfNs{cD2GH7MS=*dEb2iw6A>}S^A!0uqvw@?^mb>s(N$Gt9q(QF}l=J z3|6a`<7$N`O1}LfR_wK=;4&e{q-vn;c}``qe7{u%M7o=6QQ_rVx_n9*3A}iLcx}CS z`)5Nx<(hvar@zXjBbqmWZUO(aM6~Z=rL%h1YhL!#X7huGYn`X3{mId3=PMh!52h3m z?d4H>QL?nRT-K=Hh@0)VAu(sErT8mhQs2~RO)`OGeDEecs|<>P*{ zdYO=7QZq0_Z?m`d!MKf!*twQpZH5@D)+)!%qqglyG4JTgV)A19i*HU>ww?6ta{JTr z^(pH9y=_E&tV{)9#bBl`W=> z!N1&WzKZYT7Pf1Z&DuP?cJjS#>y5aEeys3_3|xU||kYl~l7@jslvH#j0ZPQ~7Gvih&o_ zVh`G9Cvf{OeV-lq=ewbJv3+r^eTP`Hh3ZW)-W5tqanlS8(K{w--#~sI!!c=9YgR9_ zQp{`yhUgvBrZ|`miIH9#BA{BU9Jg(`l>KrbA+KuMH7Ut+ktx<9k)mZeaOa zwN#W<+7LI*Ky|S)zsVGnJ1w=Vml;FMT24D35_7w)Y~hzVqKZ=*;!bJJxoCTah&y*> zn;cp8XD|+4SW7RgUgfS5_^>KU(4_@FJul&JpEv!yv!A)Q^A>l@tLM`fm*SmIyQ;wW zr#lxd;%>NTy02lfFRrrZ{34&w&Gq*xyY}k7T>8h%W?+ckG0DSq_}G(TI3}%X&FW=V zikZ#85WP*3>ciGHB*J=ah=6LXa=iaUF%GmnDdt_ivdEg_rYbQyx^3J`ipZ$Ev>|Sq zfl6|IlYciRc3ZQ0nK8tyW}r$t9};uBtzD~3X--$$GsMc5uPm~D&6~e}^EH0}*#74w z;0gWo^ZEYiQx0dm%%Ai;+`;E?|J^e-F+5}LGp6n?2m32LXK(%0Kj(ZXv}%Y1L=K*vXrwCq>+J+G@4wkeIyK<|>OxIc}1P8L$8-nQcFvN=NpTw z$=hrvuB?0Ucpio8q|N8q%n4RquB^HKUX`)Ey5DS4%xngR=pB>PpQPeMr5KJ$Ic|Eb zS-nh1F{v3CqIXQ2;$S)?MtW_CfNHIBTzw|oKIEF76!WIjR;x{i#N=KZBA{ApHzUXQ zs-WG#^0#WKD66y~ZkmDWlhyWjwpTs-Nin(Gn$^pUA!aoL(@Cla(&{(!cCC`JITvr5 zo-0)r9!IqJ>$X>D*Gc=UE9`V#$`eJR%^A`C1 zkEh???y6v6;ni=z3u}CRhpzOB^7>D-bubV zJXSUx)O<3S4tvzwT+5g76fw=f5WQoP`jb>pr5KJ$IqodA_<^Ek_0pGOQZq0_@0d2l z!E{LPHTKhH^Kppj)mr7a`b@Yz=}k|HdDCgD)uuyYa<2^$P_4C_k>h(+&~9M28W%JmO{)qB0gz~LN4{lV^PdVcL8 zt-D~JU*V{c*S%MfqgFk|q(!mj`n#Jk_Ss(DZ#F4rHUmTSj!EiIQt|vuF&vY+?HA>@ zyOzLIUDKCYDQ3nB)xZ$FW7-r4(;+dk)KVE7BA{BU9EZYCzV-ucPm1B`wAE_UAu)NW zr7}1~K($tMl$PVBDls~`ty(I|Ds70HW}w3U*7OcyQn$S>kAi0PGHZyL%|MlQJ|yNY zwxRqF;%2Q>rZhWQ*jx4ZZC0BuezB@?uw82$x$gIT-So1bS>P@ncuOsBpC3yR$Bb?N zdd1Sjo4t@*D*Dmbx%ZGC$l-#k?su0=dDS|CjuzPdrL3c8A3M=`Jy!0b z^MRZB%#r44b@3{;=27B;!+A1Q8DUzc{ivM9OJ(&qdB zYO~1^wRbA_n{(0j3^AuExdNFFiMid@uGO9LwFpRYraCQe{#GZ#@T|bOKU>WvHgHaX zc(J;C%WG18WBcm6Ci3eUGmP6#s~HK~V^Wxs{@aZp-33WvBeCTV+83^yeY(K}8`aWEYcBfU06 zK(*FxMvm`QiMxU2Z`D#enjxk%0~Pkn4q{S@*`1b})ysq-CN%?9+D=F;u+;Wz#UEV^ zyU6BTEbOiNW0(DEm3kAhRM38`U28w|^}chJm;SF2`S-80*La$1R~0zJGb>)jKFc=5 zuBx}D-ZsB1Z!0N+s<)SN+`K>JhRd&Wt-H5R`8jpNgfN!rR5^4$-8_4@wT3hlQLYn9gSr0tnc zTdme$ZiVjCEZlyYqFjjY4c+wu&NKdp=UKM1>ic-`-e$W%W%>L&j~A}TEkEu!`Eh!9 z!+Th}dmZo)daZj0ukLTR?>pT>-j#^z4QdCTVrDZiMDLiSeTz>q9Fw~38EI22K3!Qi zZFv(JVgtJ^++VeoS9ixrLP$(rZ1>`vcmJpRiFxpCr(}L#&y8?B~aLzU4^pIBgtv+p8w+Q0A<7 zmzodh<}3j@f~tbcal4bYXFhGU+PJG+y$eUuUds_stu@Z5mWqf~ty*e#S(syHGmxTp zOw#@-Mvmc_6d~Q#tX^j1nAHrV=pEDMIG7HJkzPv?P_0#t$8)hCXnS(Zn@(G;HXRa^ zdo4vkwN`J&Esn?jH39@KA`pL$_|n?@l?A`Vy{+8Z@nIkOQ@`woTrnbFG53p3eHFNL zm8>uNJUy}ak57#MI)^tG*Q+qvtNSu-#iz9Sk9KEGJ73wFv^jz*r*hov$0Nc9mnfZkwxYJF)uE6WO{g&PG#K>B}**8A#DPCTY)0j^T5s z-6t6$+aY%MawwP5e-X3BE#x>TtvMI%52ojcTLxJOiOEYXDoYXYxU!{5#vTM#J?b99 z-Fuoq?*3Y=r>z&!-KXo?zvuAu)HgQcJP+Q~+vS_ha2WFG@Zk9Sz0IWi7wzK{oNZ>Q z?DJ^5iDf<;$({CtcJ(qN$E@YF3n4MN*HQ#jPUX1S$z9WvW8UQ}i>ybq`0KV;XxB;m zY4_y3!iGC3PhHvKYkH@aXAGYzZ9J*gw_&q+zdrhsk)<;2LEvVeeh($OM}PnU0t5(r ztp&a~|L(7LL3Dw=onP-}GsLHV?Ggf{FQF_4f#(W%rao8jCg)dwQkQPB#M2b@Iq&T> zLRKL_;OYXy)hjq(0iW~U&ZlN&0t5&UAV8oMNSF9y_}u2bM9)R`c8QJ1L4W`O0=F&T z&-rir{Jfm%^2;gpW-HBi!<)CSets8!9p&xY*T2rgaQJOAq_=mkf3xc>e;MXCn;&g^ z+Hb42`14)9{kJl!taqPwTp^D|v`I=W_fh*g#z{-xw_Dt&jH430Ut!!(+w+{AF4c2^ zvGPwL<<0Oq{_oqXUu=Fqy}3L5R{pM0`R5bI&T^D?Jrm#76g!l^_Y(OYzLi;T?$f^6 zd}G+$ecHUeJIEK=ALZKS*>|qV`Y2^7ptSu8_gh@vTV2GeoVOkH+sl>rYWU8l*LNRI z^*1^$SNMrD{b2LG%^&U8{EN-2&F?e<1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXFoJrshH$VLDX4n4l`_1OnCcoQkem;C}lkdj<_WPUktN&#` z?SA-f^CxlVw_pAG_2$Qa`Em1uSLNv2Ro4&WD5CQ3$Gv^jzU#xA(!HO!joNla^wh+m zbU#FGCvJ;)8}Y+f#I`F(UHh(Y{j1;omHg`e``tG_R)2V1*!=c;-<+B_k@50f>-Kp0 zx?W7iPygG0_gDVf-~Mm@)xZ4FH@@|)?`_`x;KSef)_=8qdw%_U=~o|j@5Ha(ZeEqg zXa+bH~cuM_FDB=x>4l^8*Hw|3ejB4V_$EodF6?mbRtp-Kkb1)fRO(v zWn_?LWe^b*W8q*CW)l}x1_A~0{{vH~u?dQ?D=;t!GRbHv%URkx10*H?ShhDdb#}IQ z;{KPIkwN&6n30W@l>wkCsHE~QTu4}y@ei(SX>Mm|15hz^_`mqy_WuuD>`(cFtJ+!H z*}L0uQv#s?8T=W<7veMI``16p%wGx%^!d-)|4JdB#sBpCQyBgd{$9erm+ybc|5Q+c zkp2Y&fB=6uU?4d<4PTKzgzYJ4Ym#ss0=1IO!0nRSPo{GiV6^jW?9C+cDp>{%U)%X~%|E>ZhcFmW zd+S0oI5X0P=TpY!M5-xcMV`}NVD1c%KW{;Wl70#j1~n2ng|NAVQ|dF7U#IitYHi2E zi<3{fibpw*Xtxe>7B2(p2+$_UVY|(^cVxtV@H*tm(vEy`G}6;ocQIdm91ko_3Lz_2 zmN)4@N8)=kH&S|%4}^pmjRg}b)z%T#H1xbXL8hkt-18*LI}q5REybB{nALNjMFYYj z`*FFR^>YewU%--4RDK=i(;B;~!Y(!n1WV$Pe*?=TTJ!&@%eFGVPohY)2ocTCvSDE+d?rn5TQ2RK0`>LB?wl%x+ zad8t~7#ciQDDdnGqE&(p3+LBI4JsLk3Li@v(%ct4vQhh#Y6_bB&dR{tXELbyZ+vwt z-ffky9d%~{j$-n@VgRKHfS7kXg09MVg&{w|M6cuJ;CB$%aCmlq`rbgBy4$NK{L9t> zmbi@=mOyQ&1qwU#!;$GqggFX1ROCx!v;iyH*z9ek#u6sl#W}=-e=y}7uQPj2ttCzu z%1OWN@vF*ZqzlthAeg7{3d`jBS(DF3DJqDA(#@(BRmgWU#$9dDmg@Rsr0tfwFxX%8 zqB2=3T>t8eG19`BWO0rz;DTOJsU|Kgi-j}GXcJS+4z{LRsZ&%LDPhEy-o@kYk&G6r zJ0<*T2L0981wjKCnJ)i3yteP|4BT!;?v6O4)y7aA2z1LCco-=%{Ti-l87%gvvS$i7 zPq?PIm!7j-l!PuBPa)RXJiXHwNUDb^=noZ68yPmL9j2N!5bdUhWffcX%?e3zzv)L< zM=!={aeW_7{4!yrGiWpCr7~>2Go&i;v-5uCL?)VHete|3OyuDYK4~jbjV#s!6y;R7 zcR&1)sz@qYtR}7)lSLlXSTEgbi*L_4K;N^R)bKN?L}6wnFW&UqDsVd*W@XVg0jlt zjmpuF>NxoO$BJTT-L(PsEUj#X9qmndf#lAeM2Ze33zvlCA))r*H#@^VyL^(*q;3o-aVx5Oii%Fx z-9_32pg$}ckTm#>fH`BcS4|VWQ`Gy3&DGW8{M_7Tl)wL$s0D}XRHZ#q&S|J(ZOY-0k%^M~j=IN4j78oTIQ+L_t&!2kgN0RL8;|JMGk4zO@Abg|$i z`uAm=>)$JXR5-g30qhKIP5*1Fe+vGo?vFx4=f5uzTT?q1eK$iJSJQtP`iHUqE(5rj zdbscsDJp9!>&pqsiUR)KAV5M;SzlRRL0D2wOdh}p5EqpbRT5N@l$X<&6ag@@u(7i+ zva@os5YhjqZTkOi;6J>i{~L?{h{6Bh^tBd_##>ddcMgK2oW@yBv^Vf4H z*S{_q{>>`>f=-sk`tFu47W#JfCZ_u4rgmKab0Z>vjir&3p_3;s(Z8wuk1+l(eg4y* z|HYmEZ?XJ0d;cwz|Gy)~^Plni6VQKF{_Bl}{*TOn{2!UYchoSh zz38aJZ^0?^^(J*oe8b#qgBoJ>G#g?1(oM4E?MkZa>i1T+*oWF^V{7WegQng8?fbA# zvDNUv$Uw*1VaHQgk1n1IL@0df6IYgivngk*?&lieZUIZilw|lt|P?usus@phSv5fBp7Y>%N^3_>^(xv>G_^Ik>#C zir;xkqL3MN0Hj&vGya{e4xnlh0|j$hK`ZF1nUKeqo3-H$I*{Tshgg2lN^p&874gRK52q9!gqWMglG6 z5h0;-yac27Psur5566WMBAC<`^{wdy_3!%Sv-3H5UUdpCxNfn5;_omxFo_*ryBc&} zs65CX8CCgVJB2%Q;>F;(9zBlbA2!CGW5ESbpxK3^+=KUuqYoI!KX$XBQ>d@0KoY}z0F}Cq|g;SMNTx?}2uXH@o(j{J!l#&_pj9w;2GeBPtd)%DAteXdu zC_v=8Z?b^hjQOvkiou2 z@X_bu!J5eLUYZL{sqJkvOL2kf;p7EJdg5{;XhTmT%A?G_PEnKKq(xbpUjJ%Vl%EI} zJNyx_iTf+YEc4wmG|5ELD8Y~A;E+G;W9{hTAh%ZH%Y`8mUR-^_sq1X$&K3xVRA5OV zOw4Nlcp`+9SRMvM5e?{b&_8jz<|DgyBFO!?h17pV

!)6GKNp+D~Tg;VznV4vpsOnJ4l(D$!(T=z5gS(1;aKxkp@wZv!! z$?&MDuyd>1O_!NDeLwgf1J;%4gsEiMbgdj9Drm-or}&+V8n6 z6OQOx)&br_u`McefV&$`Xc6s=S>j`u~eo8Z<;Hpx&Gm=;isu7)V zw#jLdOh~*}Ik2CwUE9-;*d0k7iEcm2E|Q%6CS1RLVR1x_MxKJAP{%aEvi z!*;0G_TEZ?&A}*CmYHim&ticlbz&Tdm*5;0 zRd5)oR>CtvsDj)?j#rl$>!CB0XA{r7>m1NiPn3Y$Dz9W6zcNLXb&xfh+@kPe>RQ@` z071*vv>f2Ih~i?%HJGOutwO;L229uDgLvb>arkfXn=NneXq}W@F)A>5dBLsI+%jX| zLk#dksL)8-_L>pj04X)U90HRN2<(0GJRP<16LN8Nz~D2YvoOsu{62k#x1g<#ZR6VZ zcBO$;(YoOf{$}i8s^UcUs^bl4YH)Qjgn?=!LVvP626ZTIoe|2N`H)0-IzgKi)g@9) zwpavTqQG~C<_Dm4g``O%nE03OFd%tLuSC}*Y!g&#?VR?d%vh^X*K@;4;(r1enMkX; za*0TB75Q-OwLdz|cePXYd_5n6`y>2b)dk?rz2|zA;t(P2=-CEgUhn1gw|$}`Ly7=) zV$Asio@jIKUvs^5K$@1uk;*`WKBeQaRX4 zgB=hmEsBXcZ-G3=p=Ei1Ljb(xR1hX1n7>QA52=YpXOOrz= z!dMS$4acat40yHbvPg>|e)bgIr~U8)eV#dcE>K70fBw1|C*|4fOgQ;Cvjn}~D@XCk zS?-54T#}#J8B+FthLx8UKQptK*m-cfzUECr}Npd3v zEl#|uPM9{{X)so+BYoP4%RiWddetXb%FX7-sY#4>v2UG}hWG3`$)BfUnxxqFF8l06 zyP~CB_`J~GVu1a)=m_6zE!w^a&jdOplC4BdmeA;C3YGgKOCG#+-ZZp|s{~|vzFPhQ zgI{5x=2*2}yV6dJ^Y3>~quX_7rt*Ux(H(Qf7osd4^x)QQi`xfI8@qJUB zHj|J(?sg*bD^84OIh1d<2jw3$K|wsY8`|iY_+C~)m@wZy5a$%iSGXWQdX`-f-uI8l z>W?U9G?Yu|7Z~e3@~fB^qib+?0^64{*!CH#SvE7)Yp)HNyrMg>K9)K~XRZs#+R4y)sl>F9_ zOonkaIBg|f$LMiL4^x3^3A+vqH3?F-&T47qu{zqoz5}+0NP)m^=;(m2k$N6Ufv~k1 zjc^&&s#(MAhbzpiPxulpahZjR;K{?gprl`^lHo(;zlHd`$Jz&M%4biy1K;QhY~HP1 z&;hRQbXp+OGw@nUJ87raA;GwU$3LygEr#KBw%_m!jQA63$JV9qa=TV?TnB{Dh!R=U z)VpZ31>emYOLBGU_-<3kBTSaTakEN=tY7ql=a2D<=XFiA7ize4f7O>mi!oEc7M^eatfpLGt?HJY7ZFO7MD zcPnG`(Ed>54Hmap-x3P($Ncz5GOFH|9GQ8Eso2`tb$UtE8mE(JPEtH1MP?$pDzH8F zyCY~2Alp`N$A%kQJ#NOWPeqQw+D z5QLf7&jIGv41Ly$AIK#KPD(0RSG$Um>R|7~o?+WF8!tL9e(T~u>yIOrw40juYoLYu zR($_cfIu#?F5XXjH&zn>7fm;EI9v*VvM_)t*!g(r>CEl>B8oc}+@vs2K%GG3Lf50cy}& zBN%r~_>FX%&}U8l^(7@I{+n6LeGx7Ht@Dl#csTyD2bjG+k%#JZz?Uuk+2)JVA;~j+ zr->2VjVvSO87cSZ?6}<3?;n<9H79nm(Hud5NLqHi*s~ z@MR2JGCL44ext;!w^uA0N7muE5E1|#3lQ*3HXh>{!WKE$DpT5M9+m+%DGe(z=(4zs zXp;Wn>@JtIq2b$Su75FiAg30{bLEGLV_s`fk_6=G#^*$JUL2}>SBNGDV+2?IHz95(^MlYwdZOfi4L6sx(hrP^ZPqv^+P@!{T*m-CDa8N#{BrRu8Hz_lCy!_)Us z_0(z{!Rr)bVw|}^OwiLTM|QpU8~GkvVqlyN=-C?9@o`ycYuE%AMZ!kK%&IsV)*)w9 z(9*DxmQej)fQpWWjkwh6KY(<;za6nv6)X+wC>d2XHEbl%RkSp0#L!j#sQM3HfsV$C ziY2QB@1~NoXh`n)`$)Y9O%!&s)!0pxYQp>0yaJ{gUWk-*vvT7B#GsLnIi0 z4?D8kZU2GWVtt>w($2eO&Xyo^vt5-E!7{CQnnH)izTOG3d4+|Y(-6}kf`Fg|{d(z% zQLkbh!`CkQP9XMrTz}~KLVLc-J(G`hzKot69v+#@iA&F$gN;q! z@tR2tGV^skURK;UE^}Yp$xmankU%+ysWt^0X%-Ydby#t#;Q_kTs^8?=Y%+zI~@LCEdR=f?Kx>PVPGl4NURg;7xE3Fg^m z(GZk2ccZ+#6unQ0G&+gHzqw_yM7?uKHc>UOCEJ{~N5<>|nc0*E94e+G$2fC{cIM1E zBt%y_synT3`~Gtwn&sRna;h~88+3HWKq+^k%@*h-xpksVJqOsGQ_~Lr(y4w3jXm8u ztX44!+n{XCAdz<**;`Udt8e>I>*wJsK%!qmtjVh+A zZKwho+|AxSAKG!IB+NZbp9)LycX>Q<4B!pP&@Rtlu1NQc$#{tMI?;()RbYa+wtiu| z&n535+D?nFpQsqB6j^wTP0WXlj_W562M1iO10(Ca+IC$#5~5u~V`tpYKtC@sX4kJj zuuepka{*3y(FgFhWeYaRh&XyZKV4!Q#DAo&>N9uObLTNc0C*p1_Ay4L6zm239ot|3ciUD58st<`*Dbw$wb2f&n6P|$?SdwkX@qDl}=N8(V5qw5O4 z{qE2I^=%HW!ubaU*D)SE94=i#PL{yc!SNR`#Qp8eE9lB+esJz0sZ^->wnp$ z>+*Hp;Ham6|MG#!F0Wemt|W^s;z*xtTiYy<+D&pnXbg4+EFKg6r*1_w`IZd9r5dF#Af~VH(36e_t$Ha^W10Cp@*^mp4ZdY ztEU_agWtK~{{$*wInwTCy+4%n}+U-nA~CngUMa3)r6f+!$5&5~3ZA_D2R^bOA;$d3DJ z?pecB-stE7=pAfw_l2$8b7DN3$sbLROdodqqUY}E`Pjc5uzm#lC7adz@mq#(du?I% z<(lgyq6TjUVq2b1tPgLSq{kw}?zlhhpzy$4WF3J_cdJfaQeIOqcT@P>zTUs(a@|;{s^mHdtW5c%oUT0N48&s(HaGUk2eONIe!YG!)^&lL7NswJ zkFB%q%?j$n6QjTzsI8jrXtCc|-b5g({GjeOK&aylp`W)u=_odalcVyzwGc-Pv9hq9 z)kn{Az-w(e&TszS@uW=drb(~G^wH8}fsY2ArC$J9iq7Fblg;2nRy7+z^h{+FPy@$W zE8pjeK)it~jK4T#>svc8+x27?i@7_q3>o~0{O)JY{Qt`wf@_>&7JX|jk(efeAh>DqJiI{jl5qs$4^>;OqBiKAC~a( zQMR8?9B86Xw%Xi3D`!9Cp^0|@z) zf}Aw9)!nzli_DC1X^+}pR=K3>hkyKJ&ZDL|mLp>^_lbNqRc!WJ^k=qbab)MqvsNR8 zh57B0j93S}&<|C#+p4D`GFxtYSDvuB`WY=$hWXt(jpl@@)PEhS;Dczuf*Q%aCZ<>yFyE2jHCYJha%hV8ZW;yJ?N}DGW_h* zT3Ck+mL`syV(65(c-qLZ8>#G}SQ|dCu6=>Qqgn$WrEVG{A%d+@!HM>;N~a|66 z=y!b?TW~55d4M3ps6ZVH^g4_x9c=vh0I;HnqBsOr)=x|%k zn;!e)o*##`lpkec+K8FCwS#`&YH8JQcvkyxrz;7-zY^#Vxn5;2I zI!Zu|dTv#|VZ2Tk2ty$~S`O2epz4%9rJ(e-P^z@dtjjYsEvsZwB2l7*iAo>YF7wd( zi_saCWRWs~?^*%f4-M=Q&bb$42|%o(CJXF7X%5twNuD`3zn^StK;&zI#&={i)A_J( z1yZ>ZdT1iLkTIaNC@+bK##5d{C&8ekO%>S4_T=mEbT>w z?Cc#ch$^6jNI8c%*{hCgD9C{gn_FfYCR+RMhGj7!-PORwwiF?ISja@f+mt{Ivs9Js z6%f}p1G;)~igl9bP(*fJ6(M+g8r@!$Y>M)UzU9qKv~#LLI7*)8{j}*&aHII4HV%V8 zVstCK$Zuw&#ms^lBz(JXHwtRa@vTNC%!I*2+7jcLrtUnmY}4sbMY7hS#z~zDSz)2S zS3CxVnjG|8uX`fw8fjejY>+0}rGf#A(}B_htn&26y+|Cc>7BVBR^|nX))T~vOr31Y zQ%7%p-aZBwJe0+Yr;TX`7JKzf!|ntF9R`{KBRB+Ect4^V>;p;!ujs6XscrNJF7|2! zs3JIT!7q(h36zNnt-;$556@k)8U?JRdf zWV2ft)q#~JWzZ&z%F%u~UbhKE&iYKBnu=!1!j9mTirhi8tPjX>e3qA0AfFsUEcQjK z-WoVvlO29N=j9^24i^6Op0KgNT2A0D+z(d=cDSv5(q0un(WQK`X*4Z2@M%5@*cf}E zY?Z|Lp+GgUXy?Q!B99kHll@IX znmhLd=o6t^fZCzhV~2sn$vgg}B5or;jW`20MU{@eOVi;_p*QwUyZ&~?I(%4jYj=Ev zd_NBb4+<4DjkrKFGe6H614aE1JN@L$TADMfNE+TXXHbh*!L^!~6Rd0?EP_peY#=a# zcdwz_t1%nu$ux-MxIAL!-IfXkdi@>e?9iLd&(uk4H)Qgsay4TpL`fRej*a81#@=0= zZ1%O;%MSou9fKH0crU5ES5`L=`H`6BzCfLjb!Y8kq|us{jGh!2#oI0h7Zf>uFU5U@ zI;SBcvW>btxcp-~2h@Ib3^`^cjf)NpDBYob%D7E=Pd%wxyG9;2C;0Cq2tWcSUsOqN znqte!6n`My_Ig8sBFyj&3X3{V4fM?j$G1rSen}qu^vV(}b&*aC7lfa4F`LSF?e`Uc zCUr0|Ui=ddXGxMPoW;Wjco(srIKhx}a{aQ*<*7HzlT=Z{*n8GU4HQT`|DZy0HJN9- z;)N+A%kQY51hcseX$q`HVZ*!MD&{a8v1D~CGK%}PJBJ|#6G)7(h_lN2(nJrVh@zc> z zElcLz`6K_38Po=OIk&1eUQ$U+iF2{sMlj}O%7sWihsL8gbq6AGk79(Ta#kT?RBH z%LmNO(9fiK$f~S(*3W1g3LcRkBG@6*XkPtjG|KIOTr;ZA!A&6tPtl}-GLl#_22`^e zd3`?u!w>OyM$3se+c{Rw*p1 zFmj?Q6xzl#XAFT!IIX07shy$%cS3PR5xG>mRreQl3-~p00jz=R1K2dspm)(2kg~2H z8=Xi}YUr+*JG@P#p%irvh?O*Mq)wMC-O@g}A}-~80c{9=7I1VyU z57NDRbf%e6~*0dw2Rg5+9x zlZ+Y|VMi%L@U#f0M#hoEE{Y6Iz(8Q(ZsN|+G<-nc4i+#CA)4E%t09QNITX--iq6%c zVzrEU9P^4xmA~)|{~$3-ts!!R7KGW?w^+p@4moYpfn5eVh)dSx4xKz^aERRIBOIQlJq7$# zh$S&4FdgJ@K~@U4tTXr?NiSYUIXF=w1u4<#cl>d>(McJq*FzMWLMrbaTlszzO`QTu z!z&9y90G)vM1_z=TjE3gw(K4X6OpO3SqRhO_DBVmx^yoEf-wwLnPH0Ev>@UsWnbV8 zeL%=qCWN}#})dudS6rm7#Dh^I`vdO)ocVQnhY5pFT>%ANN-b%s-8H0 zB3fc_i$}T7(~lOR;rC@uDB{DU0zGP184gPMQ2k{19xD9Lp`BKOcUSYcY!XTWsn;BI zxeI%_dO?;qnoP(U3B&G=mz|&U=5VoJ40YkY-T4gNYEEp_tUq3m0>=U@*&-(1hdHGYj?^lDtBz|qa2AU~Yk()w z?!uG~clb)oUQ@egvD0+yK{5}vL4{u^p&ezO+ebcDTyv_R5belhECHR@rLQ6GI@40k z0xjeSjuNa5@k~sA)sUJ9^u<&VL&2|P1G9XE!aX_KjPwsj`JN5=Z$p3${^K6(XVHze zd5>jTt$|s>jvZ7PkI7{kDVw&Mq~0iH9_tNzSeJHGuDR-CkoQrLk-A|ddqW9JIRl<4 zyN?r$iaXz!e6Y)d?eswi*{%-ii~1?5#^He&K{#3cW?_$Zsjxt7_rlVU9$dZntR;TN z#WDufxYMZ|{wFfG9j^RSQsqj_xdK%fIuKmY+A^yNkE&ClmwCS-uY8< zsoe2eary+&9$GUSu#N-*-vZ zkO{7CKt7c7kH5uRZ^T4H&Ei?%;WwxwO`g2f1vpOT~;^1od$z$9aZ8nff|UJr=FEf2k~0`1Stg(I+% z{^SwnX88a!j6qGSlwpMXEOGWRZ{TlKIB4`g(SL#0CX%e6HViDFGKvB3bo?PVsHio% z+dYkPMO8|*kUxQHNwlV^_CnLU>co$?gp!8~dsq{P2D2DS z4$zpjw7_AstVT*KaYNUe!PfciN?Y5TgmBm|IR3cxytjwc?nCkTOYFRy67dnZt{D{LL z4aIw~DVm;AJ04F|sdPzCNgWmo=036?^{5jFjvYFRtf|(&t1d^i4J1ifZ8#cfaz_;~ zdFW2z{6-MC+PuHIwK$sAZuame&wMbWUB!&N^boH-2xk`9^W7HIep zuk5ipFrA-3GVw()_^3IbW6cnXUv2j1++`6gl0erdO*8Rksd`yC^Qz640PsIFC8Ayp z&9k6h@wl(bwMpQ--J6|PcjyM^^J=s^?kVzo?NSAP z#MDc_*+Mf1t?HOofPsxGx?AxJMbzQ zk}^ifi>A&OGwhFpBNlJcvEm@-QlR8O7M&|=HoAL$C4#drPR&|bpr1Dl)@SB5aNlir z%}0PMrF<_gf((Yx=xCNZvHTFb;j4w~HIpix68zfv?FSB8dYx`&7MqCR{mgIBG~!McbK>YHR}tiP=60wd{@y4?9>~;* zI_4M8OlCxP2#g-?pJ|E~7E^{h{?3ad{nx+XWE9ti?(dCU=Gw_3^Yn0=@Ko+*=l1s( z2f7K5qZr-h)8{xyeq{#>VwURb%%zar4dGbA#!Ik%pJ~$>NE}#zIsj{FG(Zx zRM8!>UYp~g$T?JE^@SPzVeLo(4tIfvThf|z5pOQ$2&<_ zF98f?8DQVuek(A-&4_$%A{|KGlU4iDReCwn{LM9p3I669>iIu;=$dqe=iB(~sw-TSh$qdBU}DNp;; z41(^l$lVBYnzSgL)V7@>ob!t;wAQC3&k#@OtB_bO2mzPg;It?wYQp=aOeqV=z5+P5 z{U{%%!!dk!7Hc)$xZLA2$!t~tlg(|~DL-+^g>k6GFA=i0%n)+Bkm*J28m@KYr}r6^ z80{^1@TzdkrAn**f_B@JLV8_Osno6yRujgDKiPvfe)VlhM*;ZHVcQLXCUsXD4V4zu z4^|nCjX))WBCE}IWNG{S`XDU_znB$BQpoCvjR`%kEHcoceyx^abGmYSR38Yh(V6kh@djtUAQ)j_E5Xc*G=`G zFZ3A^t4-_95U+?SiPx0gQWy`w9@ghY70?Pi0nTN&gY77;g(_*4vu=P}clcfB zu{M3NHroXJ9O`tF(B@q)`02cIQH_RLTKIGM0PM@?MEI9-Q06Vkq0Pzp4tTsbYS=`z zDtsv@Y?{m5(xkSwNV$=l(1L!dK4xtOzbxmz=e`(&NPa}AjC=uRtzZ@Lxfe#aW6$vs zgX_Rm7&6RiKNxB>;&!T97}F5r#Cc6bhPL*YD(Opkk4kT-vV-nZ9iFIU>&tII>ArST z&o)Ex-gZkYB$#>%ax{Zi=p_(%RynDquLfZ?WS1?GswUXzE6#-xzFJj?E00`ho7xolRThVNwEBZ+v6FP8A(5xn}=P$$nia7PN3+x9mmd0Zso=Ilh63WI!hpXkjt5~)cai3;q@_BSIH(Eg51o9qI1gdBpF0Tpfaxrg%g z>n?3>7dEQCE&F$>H6zUzAL{_%eW{Typypf3uXkkD{>3>HCegRX%Ht~6_BS)a zVh^S_h27>D@%V#p3k(~^zfVp>h4aSw#M0GdENIihM1SGQHQ)=6569gtUY;mlU}vNt z+ZSv|E}!yMLSfMozmwVR^1a9(~iYY5_-)3E;COG4PftC+}cM0Qh1Jj)^uZQ8t%y@;R-|;tHBD zOfXI+xam6A$4Tozdb0RFgIiq5($g?AS!;yVSbCf=WXJ_j4HskX^F7 z*UwNO29>2CgGV<{>DXhlvNTI-o-Vq?GcZi_?n(v)L~L)yl(dZdkOeAVaAgWFq&|o; zt-+6VtP@2@Eh9;Pd)NDO^oPFkxbWNWc(H|;0F?0Q7#X7vQy!=ph-E6M6lz~}_wbp2wB9CPBEj%g)ZtUpi zab|7>IA>^W<=GaGJ0I09!Vh3u-;H@%-$_bk!je}^HDq%1aPC*#J)Px_u_0zh1W8u^ zy4caFzjH93+?T#w{Hb+)tr3FHNK3KQloZ%;Hz0ENYX*Mt04`)EX1L)_n3a=SCBQlE z#nk)^`^6Yj9*)o7UiJ<91&Lp(ukl2NuOmlx_h1#9AW`amy>5&_h%9yRke? zl{DZLtmD-^TGhpk&vq)!kKoGmqYC6atd7`x3$u7NiTQXTR-jDkc|3VqL!g-HWaj1< zHFxuioj(6W%U=874QtrA1axQQaa$0-Yzn#jwf)9oq-+0lZPmiQ=4S+F8bMu zu6mK|wW}v(OF<>vyfIvWIb6@9Y}+GOkUFRR6dN`&T!*e9<6Z=LzJh#Z($=!FTKsid zPLJWa$=AUcvE@cJ>rEl|-dH)5T{@RJJzcA2meR#gaX*ya5!0|-6E#7hovsycQ59fT z1G$AusiG`hBy&`^nLu@UQVyBb(b3Q!)G*NiJWxL)M*#N--QKctN#eD7&fn#kz-f3U z{EW7Dag1S$L=M$%fwjzvJh>aTlJ5S0n0u!n(YhvGw`|+B%C>FWwr$(4T4mc8o-o~G z=IIl9bCtKLY3qJOhvkB#$g0c9EOzekhEwvKYtn_t^%H7MEA&N5BZCt&6N6GlG*>@W zG*>F4z0BO?5WAc)!TLsSzI;h)snN=ee7@vBYN^P7CGFHw(*H{CsiojHCe}V6~>xvbx^Ee+Rk{U%2Oo|etWZa|&0^k2MS zX8PXw_DbKVRu!+9v=D>b<`yHDi_%FBb(Hm>KC#3P6L1A-VIso#^6H5N`Rqhn2Vz^* zaw@|PA3n(8rA)YLd@z1MSlBU2HbKQx(i|Oj{E~jW79h;#3xVQQ9DOJ~F$t-g$<0Yc1rzY|)K$fY{iM+F zOsX{>SQ8o&!=A>YlwY@Rz7Ic>>zgkOmGy&)#Ul*m95#}B4|sUqyc?FwY&q?;Y4|?x z<Sooh8hIeW4y( zG?j6^p33a6&A_>%>W=F4xx+t6+;h`OEbdg$C#v&)H6|&U#2?uxWM3`V-|wMcQkX+& zfk418CjSlSqk$?M!OI+vtA7%3@_$SEzzX{VUl)_y@^9~pwbN3TxJ;cvsYYiNcY`(u zr2>%gqCzl(^5QxEhyvGPKNo5_Z|beXXTFH_9 zNIoD3A{cQst74=tyMv(#QD&9Rl@$#tq9Png&PhL}DmgDoydfz`UrCF%N0c2wi8*!z zaV{bM3+eMXs+{lT3^L9A_W#NHsG#zNd@f@ovTSZH~e}5OeLCz7x9P9 zl^mphC|)BL!_gOM{8gI(%SrI64chMqv_j6H1OoeOc9GVwe`T(`9Xx@1-KLDuO91Tn%$8Ffa;9nwsbG2?7Q zHhvH3CclV}0(CD$B;(ltfrn36P~`h@XK^rnEoMaUNKf2}?uc<3HQ8j4s3%IdVkAHo8W`_I z@;XPx-s__dZwUDO%_iB&e(_SMpm^ZaK(X2iJU=ndLHP+jqR!qV__+kKJX1%L`RtJ+ z5}MEDRt0_W!jVKO;O^f6U9m?gwhH;b0gMFHF&LP0P9Hve^+- zW{KWnisOjusyJ1nJ@nfILnqJJj}6foMxy!F@}`>oE1ZEno!QTibOVxrK$nZt(HR4- zmz`0yNrYs673LT|J}f52p`^bj+|5KXW@1HBsmH8iT2o}R`^#l`Rr>SAB1~aZJmIB? z(mhn~(0NfRz~ij%NNooi`KM?DZpnUfwQYde-X`Qw0vwdb5s^;VcmtE-D~E=(=1-lA zhY|Ev5`n%$M4@5&>*-j$$|@=k0ZBlRBjig9?Y?8JWa0O?{D z902Igdl+DzXlQhnCF+;#Dt!3Vo+>AER)#dRW5%EktAJxIBiln+MNj~f1i_eP0OwIf zr$=iv$bn%H&iY%orh84&55S!_?D?7#qnDng>Q2CPkz^H}A3#w8#jcgboAUBuq(s)K z!AlY@MIDU@M`%Bmh*wJ2JJzPK%r0Lwi$zz}RiNICm6(bIIPTjT0@p7AP7l>}t|F^0 z4YIMM)R)*r3)kOjbuczW35}cD?=SV5S{fr{L%b>`fl zjsQG08zM^mxw6l zZcaeetWFPY+5_9b%R9YPuSv0XvSGmhl2PE`wwum^g+< zXJY<337{4H*NlqZI3X!s8P4ULY@%~c_?T@Wf*W?4|AZ7!>P(Vf4e2X^YxjJ#plW_K zb+fHg`uoyU*HpLb%2}!BOTF{F(KuR=Rxe*c^FvWtKAF8W_ys)C?2_T_7bd23>H`jh z6p~8>U%seTF&dsL?Ps4@Y=;f zYOWYal`iXV=%93b!sJ7m2C>gc2;mzhhXK{ohnII3+ld4yr|aDz1k03!QyR`{q|oub z2=Pi`Xqw|JPz0u+W#cj=_VUxM5e1a^g<|X{oi9{Pp(jLyVS4UYpyS?OehP&EmUKs~ zbioNKB3q#DayAhJlGK|6lu5Iz$F{tDKD(or^lx^fs zH>#zEo1uxq*2GyH8b%R2E!bs*i2@StAiA&@dZ*XTtoHs@&V$vFuhUs_PcR4JRGdbm z-pN1XkMAh`uI?v0*NR{%%P7VNLfp7&JdGje7Z((=tJAlB|!<+MeL9vQ>bwn1R?%u0=m=bf##Yp zq-OuIWUFanCZ&SIP&=aEa~IGTr8`3cf2rV|Wif{`C}&-8;8<`WTVzX8%@gPO?}guo zi$hXJ9cD{I7dh$^wiFQA%^%G)_Ma2asUIDH3DwYSIYS_<24MLpu7_f;XNPn|a8fW{ z_km%U*qPQ46)O^H+Srrq_WAr`4HclV#5D@Y(&H8);Y+xhQ`% z%M&CNM93z0xN?wJD+{_d>DAfy; zHLkfnhMXlxb&+6WfO3uyHIFK`v#amVED0T99BO|-q%gR4F86mwOTmgK)f~+!YA`9qfjtB(1gXT596xagC0%%-{_NMd45CYoBrju zaf4o2VgTlM{-`gprBV4e17G^^z`uc2Q9r3{t)!tee`8cBK8VnXNy1=!I&6bY!U_3Z z20qHTU$*J65SlpYEQ!7x#^3|(Je=gSx4HGhwAS%;=#R{32Y(%4s2@wynTP3}zI=b@ zkb=3V2_x{`wJ?V2&P%bN&ruyx%m3-WUO!3<)o7 ze)`cxzv)04r-T|Uilw62|0j~ljFFDd%+4kv=1s4|Fv`Y4XKt%seLkz#J!9)_f#z}i z9ZRSWu-MaC`|n2^TOIHUqzc`Mbv2$z<;g))02`RL!cyde=28e&rHp;h>_CM2$p7Y_ zgdJo(MQ6IuyCSS|rJ`N;gJ_*E)2~+(Z<)yiDu3yK+a6{?mVobZMW|gdZ&d zg1X$~19x*Mb9EZ;D075v{+0rbmi2b7ydAL0!PkE;=34!!)>Pb4uBTzQ`$8cpWA3EFo%l(bk$iMx7+o zo68g<#rBPkI+>1g>k{MDV7pHw&Tx9yy1mAHuye9jGZ5^z?&CyiWL-Tj`N8=u@5SQj zRH~vGs_k0fO2WP zhCsd&TBY|}&c9ixMW?4X{axnxx^#La>5?of@Ts@k^RgO5E#7(eWO(eT>-S~bVOmz$p#NOC$!k^yn zk2HCn$GKI$KTK(|G*NulxaU22lmfDHc*?|X&tw$3`CsOfirA@H%S3K_l%6h8qpTdB zvT%5kL6(orae+$9#OlV3$R`%M5r${rbY&2&Q;F4VXR>6VbR{y#{p=|RuuyzFubIe1 z=|aYk{&@lErkhxx_8sx@p!B$zDm&+-N6j(qb9czzf;Z-HJ#iGuLO~%TIsRHwWG%e` z@g;lDd|LTK=9%%w;MV>!%c`nV7l}!a6pc4EP)_fTN4-0GRsYMd-K59CC#}hElQK;O zn*!!H@pMpKb`Be!<9}(L(@LX^&Xb+}m5iP9jUb_@1Bo6^_;aBdeflYuD^_5z><-wT zj+#AMWloU%E*l-#HSJ2BjW*jZ`MD!P<@OZTyyeAjVYg}XTdc!8l`(ew_?&X6xv9_ zzB8j6b>k03H7&PWygZ1_6<$gqPtu$!p&%{?5KcxZ*{$jADHV46j;zIYk}d`I^jj7j{g zTq_Bh-cMsLzzm^jIj>`dOnUo z<2_Tm&2-H{r)_NThoW*WSE6f=SnZgFE4WT@D&IBhe#<8+lJK{*duLX2;|$LMLj*Hm z$-6EpKTEOee-pPepzG;)slFdmQX}&hbPZl-=BL{5a{&jb)bafwF4q#VZaqsS?VP!_ z4-igg5D{6)w%i@CW}?O?Gsx^b8eIL?ZARt6CpNiSzbmcySUOJ5?UF4UeXh-a&z1Uz z#iG}NR5Brs-Ap@63NgYh#YqS*rTYun-5y0d9Lc*fj*tZ$!z39bJ}Z4=-i2P3MQyId zr1MP-?5*6$>SRWKjy%-Fjk1^?m>^kgC{5`gRl?8ISneDjGd-}eSWd-mce%#%7Gf@K zx7S`Ap|^qAY+rSZ$e?uWgv8q|Wn?nA?)Y?03}KkSmOJx3y=gJ39>33FC8#ucV7xL_ z9tPW}Mjip|+X`7J%`r*9PGZyL>~@D(&W~6n$u(UCXsVDoC_|b=Lkc_3yX|l5N$*ON zN_XV0tC|r!;E#L_BKq}yz%OllC8&n=;q&^lX5D^WF8?*RY~VTSjNr}<%%F)bTuAOU zWpyEJC`XMQ>VBs@ZdglXai#HAI7{d1W2IHC$42@#_A@wBk20P%$j4La>NNvqQEONK< zce^fV81-H3eI_Q<2C4fR9{88Y%`ghA6taud0hnP08IsS=E0K?^nJ@4_v$1nLGPO#) zEwu)!3oGPHqgOYUCM#tbI&}s*)t3IwZ8SxD;SsoEpxs@6KnF0<9EdiD1052N zA&En>1dU#bkPyHBP!=o5hs=Kj1A!F~U8Yg~U|0_cRYoHXxr1;D-A(M3!Eg)+x{W5V z7h9r}$cTQ_>tgX*eL@unV1!-cY7E{9ODC~Sx042H2wqM#Eb2zCk0NN$<(DA929X0- z)|{(E3>V^y-ZjFuActLB^P2dz=U{8N(YBr~^0d^t#}k?e=Zfd0o80|cbm&$o%5?7M zOn5z`xS}gaE7(49XNU#1%kUm~WG=JfbSXL0IB%CY&)_)Eps;*}VPO-X*)2$n*%oJ$ z=G<6?#kd;|2%;{uvx1FP6N^k2O@(z#7P&kR3`|x2N?a-(siOFh!5SRQT~Hb2j)jx0 zaT``dLa)Y)u6P{N2SJN0i!`|vQrOlw&10R(*%utUrw>8~=y-o&R38j_HjBe>b0wu= zY`DqhgrazmrXegstmdmHi(lGl@9BEIx@QZa8d8a-!*7B%(#Dwy`lNQ=Qw4BP0@N8O zgwE`_dUQGsRN2vKja%8PGcy?5O%3v@V{%&OTYu5JP=Wq>`@FusW_x-5+#kdBn%7A3 zK<9h?`ProSs#u*d?0&Zfn5VO?U+*)WPxKUjk31i73W}6Q*~$Vp;n2VtX`puLp?20p zInM}*-SPCbHJmJj|6*p-5aom@GWz=)I^{1i;!VUUL5p9ez3vxqst1XlQ@l zNnY-nU<0pvNUR|qKW7d*f6jr9J_m|FwX#5e;;#7oa9oV(=cF*?c z1`T6enBGcLEZ*P3dX;sj4jMJ{mq4h2pwsk`C7qwLbvM42D&1NEJA&(rA7TzNa#L8M zpX}&Jb6vmRXzu+>x1)D|16H7bFMBx+WNTc3mI-8}&NLNXt_SvIlliFzK0D!x9zYkh z-p9iQK>4ZvE|8GzWRnuxa#W?kbXd7N>00gR3F&6kShCEXkeoNgP&$pD{RVV)Jx|aE z62305)-K0+v)$>Zq(^M$dWJISs9{FE@ho|AzxBRz0e$3HYAZm0ba$NLzt*j{-P3c~ z`G+p41)POa>@Mu#6_gB0h&0k=Na9fbS1f@Nea|YWkPkSx>u?!eu1MHrcwu*TLTemj zsg0CTgfW=h}?*>$VtoQI#Ex@umqZd~yfTl(9vLOBC8kj-c5SyA246GT`$^PiySz~Bnp&krKuX6(LgLigU@;4N?@u=W$|X3rtg8&iqdZ4 zMgI2tha1dE)p%88++niPbv#+YKtASJW9Ei8V-q)&D1wK(t1QzqsGv|2ItAe(lb=IX zxdRP0hf7ZTl}S|}MJD3QlDIJY?H#(mv9uW{R6qZ?M55sZ)+#yQt~cg(FROf|L*9(6Lo>T`GuVC^ipl|I0929E(W+n6p-9Q|N zF1BL%l_$2rRRrt0at!;9k7zq~-l~yezY;s7pYeZ)Q^rh(LVLzND{iJp4@QWO*MkT> zLIb{=aTU+`6%!xs3)EZR`i{V>Gq-n&A_(Jm@Xu%IewvfZ0J=JbTu(J?-OFD~sM|C! zBvX*lrE7oqd;)~(DuV^-YBC0!rT-DRR8Orp3SFFJlyhE#q`F;c|n!O6CQ)nIW??&{zvFurL}C5C=SywQ^C z6L0v-5%d)V)bp-O)CYIZtys26H6Ny@zFM7w0B^3q%7&qgHoOAh8F(2rdcQ%;^UXw@ z8{f)}m~)&j&&g1!nL+2hWSX$9x@YzJRBaAFH1sD@{psE)nd&q$JZbEi>&RD-Y|gmr z&julM&bf~}7-M=zg?m?MKQRQJJ@`!am&$Q_#LY6YTl@3oeJnvk;pss zpcZx3NI1M6jfG(eoEC}nJhJxv4!hf&1{1a+c~>P8uInOF(`Mxcv>SA3J!oFo(?y`Z z4j_6V%x2ZUB~pu;j+pA8BGmr{@CPOm|6M1HG}*)`A=mnm&A!D9RYpd%07d{YsPHTq zkf@U8CN^PPr0e4G9|jj0lt(%n{f!{T3%v}4Q+TtCAFSz7H9T2u`7-^7~EkQb}KrmFZp#Lv%U9cr;Z7ea_rC?)xY)cchT`oleavn5I z&O+sG3E-f=54T9EW!PS>z!wnptbs69;-{<%aW%Vzvg&uo&bRwRH4xz{1-U7zkp4lI zyIbBPJ91UH^;`h4L<+wo47jD|TLDtSKSdf`FinXMyFIkzf7EB^TL7pLN)@br7L5C; zf4d$vF4R_~%G%4DwRGn+h|}8=8;~r^v`}SvTl+j82gq|i4P?Der;iudySAK&E~Y_e zVm+PF(CC^?=T?K{hdH$4P5X;nh@;BemA<*|qRH%q%&hZsp8E!#2p#rCC1U5xwL6tY z*#?MpkTp9tro=fOG_cv(soICn=DSn(mWw~Ph=9o>wyq-dZRJ?fB6FT<^^>8mw{!$D zD8ZBxNaQ)Nl%w+644Yimd4kln$v^Nb?%wk*P`#Q9pETFIqrgwZWICcjS;K3w8#kl> z@cO3}8nk|oJuWTM0V~{oSrPeQcpx7OB#02dC*q3KSQDz}$^xlH3b}z7D%CYZID~ga zkC#o70#eKSfiQ#HqVV(U%9EXc)%bp!m{$+RyklW;)i&@H^WV~$0PQEC^ zAP>IY`-M}8eVkwTlC!(H_FxfQc+@NOjgdC|b+7;}RBnCvvw(Nzgj%l(gZjmNx83)b zx$4Vf#ii#_+km^-5UBJ@bK$D|E^sYz4A55h!k9;a=Rk>9F-F@lkLbxXwZwV*DV_PA@{2|;{$NS7eJ{gr@EU}+VuE7)g zZR`+PkdY-}4;q8v+y^5y*Pe%DRJ7+wrA&Z<_3k%;kJVxzp*?es zs6C_XBBfL#g4>O76b}-zYM9kz-2qj^aW?!bG~}Y%C!R?Rz)3?HyI(3Nky?QHzxu1h zS0`cqAN^GvK5`sDQYS3{2T)*CDLSt_IrU3$RK`qX+~; zFh58VP>iU?Vo%~dq5_u)?2fdWVU^rvzK}}6 zL$=K;=q5+g2N6ksGzc%7CrdyF{bB77_@jio4+qqpbR9VN_$B^S^vqEBV{@v27=Y%r zJ>`4SU>ekEqIxvF7!tIyzd0i&3Fpsi=Wl8yGomFS2i$3_o8EF&(S7_@0yF$d?z>B2 zW@G>b`OmmTf3ZHCMqyGVqh=s^C+&FNN$N7KUStfjGBL=bhXz~e_%B)s+@xe1^l`Y5 zc}b1F0AXq0@VAj@8LLoJLwj-GO;`_TCAI$(Z4qz`@1R^bI6el@k$Q7az#Z(!EJMmS zU*4~O*jv1dSXD8y6OYHRcs~O{M}H*LcnDdRuAT0D;@}}6)x%hhd9A?wM7cfx|$6l|Bb;bbdY~l{siJJ6XIbQ#?va`Fy*84DUlzub`R!6H*<0CJWs%^| zT9W&nKv|EcaGJ#rWWbu9nB*-ygm2oTYbEU%vk|a$A$%y zBBTP2y_jeZWgSiqOacURnihmt35@~0PA?aVVVFzmy=P7LjAWocTwnck&x75=$nob^ z&~%w%1w#l}NgCC@ncau_?scR@*1f@75+PL`g9t}>H-U^tR?k1tsj$i+Pd%SSSKUjb z&YFdkjsz&_(;gZ(I1x@C#dE1Lt2Payxw15n%u5F+*kNTjF-irElQsk}^`1@2q+2cEg@~H29NV~;WXKsqG z#^av2UST+iL+>3MyQkq$@Qo_x7Ig|3JHsyECu9($3X!Wh4qgG44Pw~bVsV8AW#^EU zH9w97VJJg?1Xi?$ayNNDIoql6AR?aTXe15VWZ>Ejaa*=b>uQ5EImCgIG8{4|^a}UG zR4sS|RuUCG%N=r3Ch>SMX(EsA`0RZ;U~{-F;h3`iC@}?5InKFq3ewDnDQA3XALi*M zu|9P|S(8>*hBD3?-5YchWDM`XARJT?o|h@g%%w@wD6#nk`* z7rrWKwp4FG#{ew?@_w(0X^xrbf@2j0*Fc@6&4UOeXx9eGL)F65*~4xTH;Li2OJwvb zeef{^We87Jbtw7jQX2h)RmX!M&+55E*CEDzlM#afP+9x?RRHPiilSh!8^sg%obdW+ ze4s$4AWK-yKSGOjFUnJ4=p+t0+J2{r{ZKS;mpX|s}zd66?y0tLi8K31pn@zhBQ^N+PnJ&XYGiC{*Z+sHgd#v>{zd zaQa2ksVGl;O72#fVC)APyn9G$7z9T&5`bcl3$U=d$Ew1M3iKTEo$Ymu@*&gu83DuS zih}`8FAnAUt$xJsnI@!6<Nf#8u_b>D2oh}G{sD;K#ADbp%e!4r4hCz zTJ31LwSS3NYfm-NPNC<-HK9zQlH}MZZ1V1r=7rn^9AywC2{i=8$J2!h%p!{mWlxvr z*7FNgs=O)h=*tt0|%n8b&@{c5!Cp_6Aq(;M&Xwp%~<-PDL4Yg?i3)!P7 z%JM?b1koQM&(8jDOjV2v4eD2;2{0)GN5R_DC`#W;RlRUs#s$Jt$DhXp^I)3<$qGuv)puIf z$LA^Jl1*cL+G2hc>$BcimGfb_mM%ECVlE*~ZfnI_1|IufYqoCvwzViUvK`^h@i?5h z9K~J1EW*}=cct%ZTs9-cUIvw~H(3}zIpkxavpZVOK?m#JA1qRmz@pJkWc^&1xR$_P zhzDoAxmepwP~{%S|9ZMSdz9e!&7BB1|FigtI_+0n*m`&byq z$Q}3{|A}{2(~a3!c>5mD=OeY6p+o40;N3q*XH?t%6=eaxTv8;i~2BNP$ zzK|Idi<&5p%7Lnkd))*nm5Z*JnVT9H0b~#eBcs+R>SpVP>By|y42I1`*dYdsb_Jq8^s4YG^p)UuP zFlyRsDWT9ygwjWpB-51M%O=B!?(cmWT+wImO={?5Eb{Fvopmo69Srt#@A=o@codKJ zc=xI5e_28pAYHdXb4sf4PM24@|HF^7(jS0F&}=BXIMuJ$4|TTpAx~=6Y0g&7;o_X~ zNlmSVMKRn0g<`TFN_^LWdW^vry!};mkJ+d!WlCRg6IW19-Sn$HncI)MmUq$I1z+3S7 zZ3&kJ_Q&0m9t-Ns$Eh;{Kgn&ly?ugd>hCK7T?%LK_M)NdE>2h2N3j!`GyZAz`#`!m z|0dsEhY21u2CLmo^^u4kjJTzT<;8_!S$_@wuXFzf{{@RE_0zSuy`Uc4NN+f89sazv zyOVg-_ZYYR69kfhlVjB#C}IqvpQHambS)gRMKr(D3N9%t*s-YEdt4; zYT{8Hb)Mk_^uYO`JOf#kv1yTm+{z@fX@Yp^^bggBHeuA4QXf;dp=X7n!y~V`|!60U+h9kW8 z=SyS{ul>r)NQ96Zy)l<1{O2o^2qr7xcjbzx9fn(&CPQ4-Sa#+9S)8yjlOg_`tQ`~g z|AZ!_u@lnX9+1O zh!0;P8KjBq5?qi&SY~(1A8#7kwQHZ7TI0smCAVJh zd93kvEfG&RAHQc*5vi*4XZyO1co~4^*jyn2OW&EnrFIB4*Wt=`Yz;t`};415Pz71e1_T6*=Dor^NVfumQ?%OVwDQiip?Vp+>0{Ir#)4?wd1GCR_oHXN%@4~I?W-ioR2PM?T2q&|d zQVJ6vP3%iHs`F!n;M7nd6&NmoXCuv-pDQQd2J-X=Y~GSag%6SLLAu7z#MXkO0>NL2 z%AdDX-!=ltb9Rv^yq&t|$Js1RHZI#;J{!~JGXtk*E{#|;U8+)gx~e`%8imE!G(Lnr z&c-y^DoPtv=Rs%YI|HlbE@2WGx0X0y$(6@QNHL<@yKHP&ZF8eBqP(G^)0U+)%#5cs zMC69vF6J`Q7R(jye<*Di?F&P(S+s>%ChuV@RtDD4r>{P?GdLQ6MQE0hte782$zFX5 zv$3x>%})lbPN*ZM+Bqu6;7)00PF*KyW$rnWImmxvF+RmKjbcWl^WR0ntHB^v!{*8p+;S_M!@edv~{JH}>nc z5t+5hes-0z8o~NmJJwHG;5i~PQX$-qkm(AlFik7pR92LPmf6}aF?99=s ze~l&Pl{Kh&I>Z@?jvgTH;%rOKi{F-yq3{LeHXSg7fcIICD14zYwe#Qg9 zo`PeyQwXpdOS~D}fhH{>+rUdH?l70v&U;=d2 z0{B0(f4fzgQB+rUU_wplxyddYP>RQ=x%07qt9RWUwW|yUu+EtS37N6WKXDWnkYlmL zpxEHB%)sK>@EK>QD>CW2;?Rk~(c0ip&qTA@@Tq3pDlsX$VpER9h}m33^VltSH*hUW zA7m;t#0j}BdVCJoDa{G{z0WO7eq`P??7VPzlkYlk_PCwNwBXy1bY8{aZs!Wk!RZ=i z`udBUcC}sp_~A~ZJfGP*=ryyunBFFZacH()-oo#FxIVPNaM{z+M&rwF-a1(FQLF;R z`mPqxSlxYH&c)W|Y7xn@-RbU_NPIBjt>HW93$2Xw{1$;OsWP3psa$)Y@rhfjV`qw! zUw8WK3RP;1r%9(gPO;|WQ$>4I$mdF(7e#K0txG;zghoI^bDQsdia#S2F+{`>|EC1F%-NH8#D+KFk(y__ z7nAF{1*^g8?BP7Bg!Szutk)LTYZm7DF?p@>1?hUo3_hkTj<&`s|fDMWp z&YohI8aH$Gl39!wB6M!d)k>%kq?O^;XOFY2?W=&4+R^u?V01xSSJNK+($Z{g%21+>&xs55PZH)TJE=_0joLaY|K_H&d;v{x^KRKQox~q zPaB~6*R+9m$p81W0XioSTW9m1`Q!g(khr6XnT>({&!EsFEj_0VRwTcf(q4DllBa0H zpQ|7#r%2BBL(f8Nvg@@9FFUMo5E5+)MX}!rOR_y)Zs@-tzY_IV(8-j#(m+z6_1)@v zbo6=Nu6=Rq|A;3=7q*ZMJoJsZ9iS8GSr?OGJc^u8b$NQ#OD;@h`ueqOWZ%%v92l?l zV8DcaetWmJ|5Y`d(i;~q=Dr_hkgM zdiJgMIv#l4{m^|Sqfdn3#iCP-;KPSo)`R!vJy%+YniC~yQc zik}2`V##`-2i@n`xu=hH4%_3_v?n3$&V?u*4tfuGjd^qBngHKx-@7rm{LG4jnQ}lN zkKaEj?DnALO8yXu4%>--dz5cBi=-Q3N0chpceGqwfqj+#T&@!Z^%*O-##yjhGbjRT zmt-$Gls$J)d&ZGyzh{UTks+`@h z#=<2MFrwkPY{bUr8w^M-`UGG)w35PHDZ}azrXC-2l0T2s_nF!uTmrOuN}36^8u=I- zmOe|QaRXZqul)(54EO;<(6s6tfRe9=NnnR#MKW3Kq*eWUF~l+onq;)dkRDIUh}F0w zIb0n|nGq;JjN4c@YmbOLV8B%I5yalquu~w&YYMM* z^moL&3^`AFsMm2wAX%C4VeN6zuH#B}L#})+Gmb2c4FkA2De1ERNp`!K0zkl@xBDiE z=fuZ_C3kV*w>D9u4St-EcUI)t^P{i9!nIjrg)R{(6(yfPQ?{^)He6*Gr{Zty35K;6 za1>~ZxyA~_g-1K;FeqcPlz>IEx_b=%*`{})*W|M4E&Fo`jW0lp;+X+Md7_R(xRFjO z^S6m*r@vRNV49Hh-AJ|eS}Y1GA`|8<+R$Yue2$v8Wm|%Sv2%JCt$4fFHkLiKL4=!0 z*iuO`Ck?dRJUA43tcWX5TI;0~MZ&eH!z%0R%qtm-P~9DpNF7ca+hA-^lLOhMENhuX z#JHGp93r)7##qDUZ>ssGS1Ib_6-e{;Tv^-F_i``r;nF05J43;HFA%)jv?7N~O3tG_ z$0C}|SAL}p#8RTr+kn@E0+j%AB$WrC+Y1ipo-OOs28%$FQy^MX5IPG3XmT4aWcsDb z)*k=vR-811m`z;JIDmO%&*;L3zWTZ+_}#^Mx}uKi*Z$1u^k56GP}mSg^%%f(`KZZCxNtp|zpjk}G18alGMCFM`S`{eUow zMd#Wn1TbI!rw9WOBWX#orc>w(WSSIzuX?VW0h$eig4{wFL;sO%2~|PSR)99ceEgdg zjH6)>J@3+hSk4OpdF;><3tw*$T;7qHf#Ts&mNgU+AB5Pi9E@W`5T7iuB#8=6LuK5N zJemUB-bDPH0w&znBx+&~5Gd6%9vz2p9inakSmj5lp9z1KyI$^a`^GdM{gO>aJ5&*%bxI!S3`Q5)bB++~OrnsxKkCK5nMVNG>4QS@bacaF8)!y`9O0xc#b zX21cdBhw*(_KG=gMKc7PmQ^I(Hn9~?~6D!V6eELd?fPKvKBfMk}`!n6XO*992E4g%TW4jF|mi0Ry;NVj@K zSUnDd?-1GB^RkpgV&hoqCuTNBV@0Omr*SJL{Epf`qDlb(3V71Qlp#3Zw(22|)8uP~ zI7FHr(pdkX^wR{rOw?d4pS-Z*e;g-C9_A+10a$kw_Y!OWG)Zv=a0dHexQahhb5@%i zi0{b@`f`WH_7-px4;Pw4%hJ3oz?4mmSGPttH@pZyR&F6V%6}9Z!JNsUv>+bsk%b46 zCRPU@%-EbyfGZ{7gTRtJ)@OyWRm!;bBRr(nJarNi-n;Q|(VO~eJyoyl1QInNl#w`r z+EpZQRI}X7be#ADX(VcKbg12$)n8@N>jGi*EjR!`9`HoR9+&W*_?O5kU9$G*&zYin6O@0dqD(?=>My`R@ zD^vAi00WW+SaXE0owNnVeqT5YmB)tpVC0W-bt`K92iwtv z2bc$pFnURFNQUxgOM&W!;;irbTgr-@;`Y4i*1X1M0q9>}#>__LW@RX?ZD3m0?abi;aDFW zN-WZrP>n0HK$GR3sw4<5LSks?Z(wG7pm6;c+|h1j+Z?o9j^?yam&dhG?*^rBk*m|? zwf~E;cZ#m83mYzD+qP}nPQ^*Zwrx~wRqUi<+qP}<#I`!`_x(M(`>t=!#UA5a?`N+y zpE>U*wKzmEC;CSZ#)?!blDL)0L~C5B2DMK!&nt{AUKnbMJ<*6VL{-(i5(#hLkhjJ( zWXvrzrHpeqNsP{T*i}{y3zZRaAKSAjf;ecrZIIZr;FlYLo2a!^+cs!cJ@`awQmC^x z&N7d?xvS|lz{Z%#>P^KD4!8PX0>bcQd>y%x!wU`ll$0NpVUw>AZpQ;$RAe5deY-L{ zyeeyUJ_FUPMd?y6FT!(tmm*v=T@mbm4k9ml=W%CEJ%W`28@lxcIr%OLnr<=*2pjI~ zbG?r?y$8oph5rG=0wWXcf%GIy8}!8b zgEgKZBeM15SUpl@>CThw?@u?-qQO+M#2_1)In~Qyl0Lf!(5)B=(3hK@9>rly{Vws{ znc{DsrEZ(9_M75?wb5LGkNc(Gt8TyVGym_W7Q{QY8w&m5oYN`us2ig*g--u&FAtsQ zEH~@BW_Bli-H%g6$!{NYKJa`_&%Z#g2!`7o`WRm~xitb>?#mTBUv9U1J$gOefN2a= z&ge`%gNdjLxA*<;Q-*<~{wRGgOw{L7Bd*NQYQZiCNm9Y!lB<{g+-()8oAWz=-A+IJ zfi5fJQhf$(jnt~=?=7ou_jjIKb)a7db)17U3}(-mNoy9|PeHKmJR)Q&PZZ(ZX&tb6 z><<{oQvbP`St3_5*8&^Y{a-&n#(EVL%(W`d-NQ45r9E zvE6Sste+r)pJ%bD+xEV~NtN93~ng#LYB5IFvN$Xa5zqwi;kU0^ZaZawX(#_n$pmLagaBC+vq zvc4OM!B>y(*0;Kf%^6aPE7>mxB{ZsK{U>e})(^oHiN;g>_KVuBg)%{2BQeQ3UrK`+E1%h7;?iz$A0I`Y%CS}4->R)JHkzf`do!AfDHL}R#`tItJ8s5V#eQ>V)$keZ*$nDn=gxWeqGMM#z9fHI( z+eKV}4rYJ3-v>>6!j%K>oEyaj-(e76!e=l`)tz6$m*%+m3d^-bUFXX9Dgv3yT|lBG z{^cq?Jz(z)T*LdhQJogHy$`m zojlWV9%U)y-~Mjot2vo+&({wWTF1MM$%T(8ggqQkbA1{gzyQn zTyct_aA9l@So=S{WeUO&-dlJWK@)twGsW#&>7(g)omw zGSP^Gy}g}W3Tj|$&TRYIU8Jh^B?xX5J7isy2>&?^-JZU}6hmY@mK8gLlEZ5Al&rTq zV<7M=e5k_RD@4(W!0_h7#6X>e z-fo2yV!LgB5DG4kS_!_w*gRM5$ZrFzG2`Y?+4>Yfu(T;*y0>M_*Er8JoW|PT@mb0Sb;Y zhSTr1?7ITol((rh=;Q^u?_%0V0F-`SEJ3Ij83cmRN5VhFj0T_$(BULH4dX8utB-)I z1zY-M;$snx@zi(L8&`d!WGDHpC;r`?|E(;+T#OV`pYABOlFYl_TW>ls+ynKnRu>Ve zIXgr1kRNk_rHK_qr3zBNn3TU0=>WOf5h@%~INA*7kvCCb1N@k1d5q-Ute3)dfJooEO@y#?nXm#`xfvcy0h2xbMPv0Mm%$%N#+PrlcfBt(?!8Hv!YyvMBpJ+! z7iM@eCBhX@3pX{_W;LdIMnbZMsx`?8EcWS$WQ895;8&u|{%D=YF@KTl8XS>eOnx%C#vCc-3ptC}Fh2=IU z!?JA1%F;7(u!3Il!8x%{Bs&4b$!hZ<#09j3((33Y3t&;8{E0(Bh@=yqq-kP6q)pI% z8nXd*Nab+jFu`VTVaH7d!Qy6W7>^%OfA{wRtfovT+7e$X*C zGO!EJgfvv!g1>VG%~d)Z-KtQ@>=)F781EMT7o$zZ4#NmxCL_A~V9QX-%V|&2h(1xo z2SRi9q+2a>t)}azk%zy^U^saaX6JvhTu~_4l&~Lq>W97_P?vV{Mkf+H>{xL4!Du8X z6`6DHMWS?FFRUaolP^f5ejsKP3l!9R#1)ofEz8*uqBx{Ea=76kbjdu;+F{~t5-8E& zmPdoLo<>x{f*|OSmv4nqjLMe~7%+8|=LV9Kyd!v2PRLx#(c%W_5*U*XL{t(03P;j$J)&?7vJ|%ih z0k{Q56ga&eXZzvO&bT`P6Oc)JL|e1wz6SkBNRNS`Ed9lv5W54KsS@5@<|7&>UYAMn>U&WH3|fQUSzyui=w! zMu$av3wC{_qH0U+WY!t*A57RUW_p-20vt`h+i-9Q%y)+E1n-%tNLEFVb>p22X?rUw ztFa@41&T926LIPh=6=vIVAE!;cr^bXbPNKuX_Q1LFMP)WL^HtWr2>92)@C>#voVIB zdD@ueQ?n=f!*DXpW)Z8YXDl>77lP;?Fb~8p2$c&;t(;*~7>ERpb$pczGa|~IpDNc_ zws1`gK{>@sUTlzxfvf~R)h~m*Sa3i>TR@$`LxV*)`9Wpe)DsJ10$d*Cq$VZp8sINI zRvRYGy+Zo5CPb@|Rlvg3sj>K^K(jo_`jARc>Cia#9#K#{UkaBmu<&$tGkJhfOtY9_ z75vn^fsIlGI@PBkH17X^V-ic5LA6lOPZfotWZs#C!3h?HV?z{(_)Y!6vbUK{%-S0@ zE>SVW7^@1xe_o5glS_lriYZ3Y`qWNn-l4>Fc7F69ag?4kWTmNbC ziop+Okshpv;8Oa-95sxohV=;XCz@(N6qh?;BuIQ_zgl9=Kz`+YjpcDW~yD$#Ruj2DvNTnK|QGP^N)Wh~d`7DI+t; z*Q~M5V?khY+M7vLcP(RW6jUhqt@1VQ-wi7=1YpG%yR)nD~hzw^p&25jwlnZdY@lWHoG4B^lKe4MGJATE!@R4*atb z^_3C>Lu8b81H_Enku5N@mRI-fZXqCGMV8XZkSWS{5T(cTt27fQ5X*Pia23Lvz_*9q zM$6c+55Kb)o?RxZYp7SPPnDZ5fm-Te)`>zBh`oV|Ojd(PY6u`OUN9O|wpn*wUo(qa z9|y!-yf6`Zl$vjs)WuYVYX4QhqU;&!S# zi6(v&JzEbje{{>)H*j4bw(x}jY<`e<;6*|5a>5N_*dTHXo<9oYOme~=Z9xcxy@E;j zrF(`3j|*&y);%qCyIbqYS?JgT;k-5w(FM*j^aQadTB~HaYFs*YU=_{c-J5?Xq(I*I z-_l}#+`ye1CQXNX(LkIfYlmsUixm&hBXxC!aR>U9d5lS!+K{i(kRhCLHjZ(Hu}3Qu zSKp9vHC^aoFG6gF_`x~BhfiqiZ1Zmu6Gc5joMcfWN%Ta*H`aWOiZjR?6tI?R-h@P- z7B{el&D9LcERG*72?#*p9^w~+XWhWhO|v_=$V{XnQzo5kOMBwvn5$C)R4yd3T$l!2 z@?lLxc+5oV3_fUg4dhS_JY(nJuz7H%Q@3pDZ_oL0Oydsz*(wCFawF z`{c>$(dEVE)kmN_1W)~Sn4(}+D>#d+P57#K#u=!zOy7(~GtMvX;i zz0)SyTi+-yErb?_aqQD>RMqP`q;ENDbb;>|dM`a~`Hc#z5b4fjM-#TAiui4GSn1Y3 zBz`;V#NirL_r4Ux*-(=E?|c`VrqaEIuxoJmHi~cLv1X<@ShLe^5boV?grS ze)iuiA;ZWOiCsNIOiw40vyfvPkIxC?R|-QuBwAjYg_GIyY916$Mdr*>`*D#VZUcMc-`mn{lThzvhe|$B9$SvC^q9Ss)rS7Hpeq+XE@jYA z&+y+msgoB}E|P^Im|*=}R*y6w&7QeLDrd5RUk&Qa?LFt$A`m)8KqL6cR|Yv!gC?9U z2>Z5$9ve*C7MTm6o}{u~nq|30Vfs>vQLNkuk}>*|^k)~2en(@x024;>&GS`>>`wO+A3ZHmDEgk5_U{8bK5 zbWbF9*4S*}gVtul;tj-RxW}w*97|D?Fx5Ob)a_``07}4WW!k%v2!k=5(udT2j7-IF z_J;iO-IK%QHYe{C3-vdWh}%?m7H^-v`Lk}gbHhnktE@}#`_ADol@?b3$VA6?NeT80 zIbRuibC>zRYw$O=9PO@f{38nsDqm3|y2DFcdsmhHOKeXWi~`dvq7b>p?ZWq^TzZ8; z?tUMK3&hV~tBgel_*G7e8zXBTChv2|;4`?+&P72P(zA6n1WWz9Vv93~LRE*Sqcf#j z%qn82sFb4eET4`zv6WOKPB_UipJmUsd(--xVh%-?`+m=q6A^pzw>OFl&XQ04*$@o8 z2=_t~{Qfkn&PeVZH=@_crS~OL_`$w_PK*g|1?5zgp-h3`IC2YX&Q+%A1?+_dENfUS z-7%Hv91XrK=r-5SS(v~KQTW0W<)*Z>14JXt?LTqYz$_uqq*#0t{%ImD3S{b$Wecf$ z0~d#-u$>Ovf_~_5zN(#8*l^yT^1yZb3l10H@Woi5FdhK;0R;KU>~Imk`(fjZ=-X1) zXay@?^nE5Yy6ecyi*71v%7bW)MZ6yB$<*&;eGVEbAS(<<-0^@|dXbV(V>>FX#zRP^ zGz`Pde=n2=gEk2?@4eucs=_0q`nBRIJEJb$VE=Rl4d4Nm9zCCLd*)A&-mO5fpBVJ9 z{x9iYkzRZo!RfJoc}h3HOGyomkmELItNwgUEm2&+8I=(jOio8RK~%7<)4Pb8{Qi5x zJ{W`$a6DAu{$Sqh5yOx@qGtU7scR{ap3(GS1Vhks9y_9lvL3D?Z8_adP)Z@pY`ac#ih+zWy<|Iq_40mALv_mq(cu< zos--7868>vOV&b|bVg?MfgLk-j9++42|(&VA6CXDxcA#ey~oB&6w#}0Rkc@++PC@4 zG{>~s@zzPs@Xti5Cx$rvSAnySy*pnc%b{xbL(PRBefr=oOj_P)#-;(dAf${W3RTrZ ztz9h`5Qph-i@8ix5IL4m6A1Z&Q}wnEcS;!NW2Mu-hEt2vFa$6eL7_BNS`3R=7N=N{ z4B6E+7J>-V=t)W44k%=*8fr3dHLFvaG|$ze}=ysPDnJOo0R7xtEFo{jcGDQ*(wYu~;VUKK-T{LnC{i;Kt8Rr}{=M znE8KZV5YkY|B6DVU-G?P>417w1M| zsSl~-*epLYkrzW!WpYsl`%_Vl=XbJIL;1dfsML;`CaOzXM{{b+M%=ZS0h7yWvx$>V zhzlI>+$t1~j!Bkf#ta{?HbRpvWo;7#l-^%FU8E_FG23TR*3G!v{&n_Pp0UZG()G#f zN;X4X$u0NDA0&C3L|oltIcFkyo6=*IESUpmf{OiYbB-%9;i>Y_lF@e0x-y*I^nl!b zGY{Hji=TMFyB(Mr>N=c#Efa*T#{1P#v97Ba((Nel~ z1UNrAw<}6P^)j=9Mz^%rxJJ*CU4G)0lDei{7Y1t53_&w#rWBXY{Sz=YnC~1ywY)b$l@wY9*rlinOI8~A?y-d*;Rl_stgsa&CO4FH6((9u!(O(qH*9F0avMqmV zt>51#77_d>wKFP5!wP>nk85S+{uXAjuADl(^0{@xplY*1lT&Ec+*rD-QR15`H1_x} zg*Hp^%KG%nuqDM?5a!hUV(kD(a%Z)ADyweo8M#@G2OUb)8$Kr?gR9iLuRyy_Fp#Q? z7(DkGKD&yKlX}hoLw3c!c zC6E^4?7o8KYoNjJEB4*Ne9*Ax$)JyHYk+rS@WF~R-&s83dN?t*$9IKbRN>QX7jx5K zK0LUCjfe(HNNg-p6!Ms3+1WB}yDDwy?0LpWl6Ma` znnFfU9jI4<5TNZ{n&ugK4D37{oe|Qnepz zhSD9kHAFo*CP(&KJAp;VKC^MWqMVpFvBP=w4=ivU4_X+FB^*$kby8nCRqFLQh18{UWnA^hQ~*8V*is)ZoX;?J zt9WJ2TQFP5#HPp@$w8mSxEgRKVxLcK!8EY3A4zlezbJq-#A~AQZK@Ue9wW$QFzH2C zQ-*E@TEr7=3?${(c@Vs#)hGQKinN)`1KKVROh^1zeU|#voJG#zlhr!lwIwbu==$t! z+L7PYIms*f%DKOe1;CR*mOd4}`4fC5s3rIKuGB4S0o}d7SUN)<*7PGv#1A zNGR@%GVQ|GY`Jk2jSOZ~F=c^qd?evC%IDP2RIFHd8;)EK8GIOLOVVHW<|cDsPH{mD z_n2G7s@Ddvv(f%v;|y&--v1eAVy$nuQ8kf`-qRodoE(5g;z24B_Qt?j#O`p5%-Lt*Vo}WqVHW&9>`*A z`uR~JajR#F1eXn<|L;MDrLc4?0|QlsCGS0Y4!Mz0AhwCk%lK=am;YZxbVSULI@jPIeCm>1(n4DBwHlVCw7TC&Qx z5%XO&d_d~vNQc>7nTGqIFz@(9ey=U4>@~@7UGO;cEQP5}AD@qb@n(42VIiMjiB=F5 zNmlm)qAPrP!PC_cyqeB0y3iBDx$Wp0DZ2K*(ZscKJhK9x-vDXiDG-Ozk4`hNBG?}m zwfQM89uhkIA8#E3Mk~H!Qjk_*`;7hv`pSKkGWpNzzHd28PJZV&F~s5&TAot(>8MRF zi!YU3Lv#&~cjx8z-vPA!EVDWo+8d^>e8?_MoAR4HF#&B)$Qho1)^^h3+P+78K8+X* z|G7)A?W@kd@lci5`JQ_5N|uN|{u$wblPzDt-9f{DVcwJYLYHkJ(rC+iB|sE$VKaoF zt$rPkK)q;4k>4STKzN?|DTt|Y4Mc_%car7#Kbxc;Z8wsbJ&j-QQ;**pb}e5WpU1W$ zmuETuR*3l}m&r&hJO{n;?Jx&8P4@~(r4qG(zP&44m#n&a_5-EZemYl?zLKQvu19H? zd;$uZ?ZqF|h>@=1LsD_L|dj&oMHH5JvwyO2-#QGZp6m*XE?gts1~u zGBUw!9fREKJ(CSGhKCd7v~Am+R722w3!>hFDZY?843(-kPOAr(IAEf12$935;$Tkh zI1zpJWTheKKZ8vn{W)%%L3X_tLNJLLsE5o3wfrNF-q(*zmq}sqY9&Spm;bTG5yDOo zW}*9OFdAMk$}bE|mST$_7I||+_En8T7I8-uK6>v_NtXfYMOQy%tlQ$}I|3Reaq&l6 z3+;2yn9Meh$7`kTEvRURrx-3&q#5xL!8L)4v)&S&h858|Y5rj!w$9CiKXN|gQ~~DwWWc2l6SY@J*pKGlMg<6k*lHyTCX~2-pDm=M7KHqxG0MTj z{WDSZD#ZZGdnstRW2Ga_c>`~|qHJ{Q1@@IWk$z42Zgp=){nfT30?OXPWD(e4I(!0` zS8?hiKN^(z)HAm1%|G_ks*JO{@jCQBvLT78eNhp_YnutMsHeMO)~S2h9pvQi(&~Lsal)6e~`Tuwq*b3!#fB{-AZAt#lBiPTV3q z8x`9ont;cA;QmoDxSV*pQ_%f`=@*$T$0grXA7|$%InAc@d*b$u|3iTh3?O=I=+8-7 zkk^HK%8*N2pdeqJ!=FSnK6&%|U24rOp-b+DGS-eBL2{A-Nq=Ui+UaL#XavHpUP0=i z;Ib@KxUI53z)Zp9dpUMblVXvL-Y7Zlq4i(ckc~E$xK&F zyCV_5LdP+=+0-d>HwS$l7VGeVXw$LN&@*x(0jp!#cP_0S8L5S3b@>H?g=WSapZgHo z3Z$B!)ipt&zglNn)Y%O5PCaYrwXPhr*Zoy2XncIkxq6kes(W#|9KGC zLh0KD*NLUv?(gjHX1hE-SL z!5bt=Ac=y|4dt#oVcAw3Iz@<(lYH0P=3n7kV?2>3*5I6JBMN!*2)6*s(kyR;lv)~l zD9p`=7>@!lnbl`*`s=0-gq$))qWiUC)OmX|USPsb`vP9Mh1Kykq4&!@7(h$oRD;ya z8{FS`SZTd%F;68^K9jpj`kJ_myc7Oi7KgO+QJ}~?e$6>_&TqfA(195@t}6tdO|_7P zf!?;@aCwXqQ`NTnMnd2x^i?VM?*REJ$*F;G#lImf^w>7iaEKBRYz>Ku^g6w$$Ci%kI0SBO|dy z<+-9M(;vh>D1zc=$pTFjsr_u(g10_}KIp?d!0#mn@|(vmA|%tS8pWh*&rW~d_0K-l zONcM@hTLT`jn~vgl^q=XbM;StaqC19hql;)Ya8WIDqG|kILF0I&UoElyHi`96-i@p%MEM)&;vd_d#-CZ98> zW7MLhl{{%xGWAT>z&sm{!fHmG!bV0M%CZiRvUSe(;Oi1@O7)JtANdB$jRXNko1Zgg ziE?%rukkTsn@Up4{$3pny5(;}tMYh^=MqWODt|%t0tw1eA3v_FECRK{qynowegogN zF$sx={5VR8TmjF+m!H;`p`e zX}}s`a#6v1sa7yLC~%;o14+Hizdi*~Ai{$i>gIF5>G_8x|0 z_CA7iyo5+RSwSe8X72}O*v&0$NI@Ee>XIUUm|gy&Fw2jT;9kDZD;%aNwp|u^4W?^= z>^VZ$4U+l=MaJuF?yN?yuqw)fNe3TEuH>4f74E-j;` z2z~meF0FndrdDv+{(1hG$vNbWpr1m}UZ5F~Qn6gqqsRWx8NYaIBD6GDu4NUMjQSX~ zqF08QqHH9pglxLRfzic8f6lse1|6X%an0wU!p9L?)oav7%5TsU@esT0?OjE4XIUdb9TXn?;ztc#Y)Kpi05mF|jm!)N!rQ7*iSHd$PC`bxd-r~(` zc0Cy+O+tCxhM)p}&rwm4b+oNVklM?^&68!Y_N<|h62dfS@3QI#CvhK9wAV9H@VF)R zfVpvV33HQ*CsS#rJ4ump`yQAnb1z%I+TUyOkum-R?`G^P^lst%pV*f#R%6*mF-xX{ zSXLtqIScLIbku*6;wkt;3tOr%rLN=UO|HT*xUd_7G_V_+njVjZ^*52Yusa{6bUqD~ zuw(1@9|06~r9^R@qW)B%TB>LxnfZdrZFDqp2UD|z;~p63VM{R0*w=4B+nS8G*({VT z^tS59dAVx+gy9L~%dghANeqUiSDU@==>Mg@{3CuY^uON%WEb_2I&)g@cLZk1;X?Na z!CP=Y4@jW4#9wCo8@pS+9QWiUUo=j9E5Lh@1_ZzNV)LV_lRh}!?oQYqY^|xF*)*z_ z-Rjw+jB_8?Wd#brVVojw%ETDFTz_()J={xZ!fqN|(*bi3#SHWN zCQEMEna-I>%lX9-MF9!a)X5Y${V(r?ZPehRr(dF^*HZ07wc^0?iv>&6KPagNP9Av> zET{sWZSGoe@QQ$J;Z-zk*IdT)>!$NC?fqlVbBr1KZQwr9pW`9SXW2-TG8MOtIs?)>qwkMlX-j+)!LB_39nN zH2jFaI$_tghCje{D?-q3epi}(NjYTZQhfygEpL!awu7YP)*d92+`(7lhS(jy&7^?g z-?#g|;03aji4;()ty{ix><)An@pA~k&15ryty$mboJRjuE)bm}%#|7MAxuJbtn`6)F>uSR6&b%FUodo7sJ#5GTdR7-Sq zy8W6b4jmJD65)o6_m(%YY{LYtMm&`x>-um84mmnhG+#|>di3DqTYrCluno( z4Vw_BAjG$p=cbU>CwXJ8M`NzX9?+Al^rJRl>isp0tgCWm0XmUFkNcyYG9RI!F;a4s zRtJdUt^xZIcvSy_9#vKh^`q^_H@L=uK#wKaVA&01h^hx)l#MMz1gbR_s)0ws-ai`? zLI_TcB?Ix2#7ir#T$`>ku!6$kmNkaG2rC83eo-ZvMSp2!OiL`qb$?Ktu!eRTcY*DtfaZM7BQmE(`NfpiGmz z0q?Tf0++TV`8JD1oWFu(9SCOYnvY=Kxc$7p5G_Jr8(s-^CF(n=k+-Tj#FA1Z8%G4VxdKb1+LI z1)M5K2km{>!R8%Pu$|)8Ef@G3>dXu2+Y4~}PLN=?qA(TG9_6rX2+L0qLW@W31dXB> zTTp!bUCd~ebwm}Xpvf@E3@W87#ca&U z@->AnD1@^?f>1Mt?XV1BmB%SBJq7Bdw_vQ5pye=a1fLUAQSY0s>C$aR$F;POf?B;N zVWwOs(v94vwb~eOx|9tO(i+GSv8juD8j01hhB3czkaF966gP+=GL5m!eduk$VnIOr zhEXA~_e0kl40i zF~^>b;L8Il)MApfFQIyegq3MDf6=hgjtmYb6cqDapN3UH7$MTYfnoqWUZxcW5x$A5~}%^80O(70Op3K!a9^B zKkn45rzo^il#Pe-mr%Mb2H8;V+;d)^7=1ky64gFu1`q}j;g=O?6KSR+0NmDCG5S7D zPH>r#)F11f7?)k*(!SmhPy#Gv84wGc+=uoqol@eM9wb~8<2HiUfjt^Q>7pv_WIlUd z6;=){P+GW)wwkB}34?}JY7FdU!eBs$^+|_gG{$vq+|V^CO9l!6W?deW;qWqrmADES z?^G#fO#!Y>!`XFle$zy5h_g)!ce_m{vZ`~E;0h-qiz8Ri7m9Z-v4zglETmV`v=(h( z!_rnY0+;dE?1$JZ0>uhZdMqZQ6AAh}10aoUA43|Fty?(NjfqNDG3_aTnAuJ)KhbU( z8Ha;6tIQe*SOSxm6BU<@F`GFowT%maAg9JwTBQ7Rz5+6EmDq{^Kjta%|20p^t3>0H z?8p=YZ37f80b1H#Nek<^AcZ-xE?I4Z8Sb#hcTWhxbC*WS#Ghm4IK{fA2aSglK5&xl z5dSn2yn{T#3MpCSUhIr#r<^Q>C@J`aa+uO)*$RXTACQ_XD)^%FYviZacdPV_Aq(VC z>MDU177Zo~ZpG0hy84Jn$8TmO6b|bQFed$E4U8co@&A)gsnS5*tEeIx^Ht39J<9ih zHsCDMI`Sl7o8|If8l0E6>=T|25bAG?i+U8C7bwn`=;d{B*ml^h?u1bb3Te8sGk%8qhd8 zNY5b_&rtnzz6h{?F3dovWV2i&1o9QMO9%-30lH6NmSiL8&C2dHWH<-PoKflcht3rD z8Q);ZmA$MgTc$C#(sD#>E)&Dh_L47BYq7(F34-QmDB5Ma_HKbtTB`_Zr6b^GW zHKB-WY+%#=4$gtu^>|+JLAt^iJfRrg%TQxe;V|@ipUKi`8J-eA(7}+i?6Gx68Ru%cmhlI+A^2H5 z_667KSNwyT(mF@sFpM|G+%#m7Y}d#DXQf0Tr*VjkY5+0W7aCA=H4|Ny*gy+RTT+Y& zz!h!??ZSYHeu_olm;*MB9jm9}Z?Ut(R#L{~O(M*%2t8+`qd`KKdUh8v_SQ{ZkRu}L(09i)NM%itsl0#LvpaFgF& zF2`Z(C#ew@{_bVy1VUoG~PvG z7~lNGf6Wp#l4BTzn`3%}(K8H}y-S4ymO;zJ=9}~zS=ywhKw|8$y-yjHDZ~^8L7#>h zq;};tSB%XR3x;>VvKGj^zD5V?Z66}YsfEH7?M>E zvt5Xy$e02RcDM(GfFk?n4VH)A6PFDXgR$CRAwkc|8CA6BW5@3uUMtaT+_V}^ja~k~ z3M!B{D4I+vVZ~J)=oK3l74q_GDBRN?uNvV_7^P^Exw2o4zb&6#BYqTAhJ1SfxB~j4 z%)(fTMYch9*Ewa+$MSZIE!2EfT49Tp#TI&LfL>1z#?B*>t4eDfChBT1cCvE$Xx#q7 zn7#!5GTY)|2ox&^$6CA#I!UyVdb}lo-vC*c6fsol=^N>98?A(4s~sV8VdAHt3$$|+ zGyAN7)mznYk~DD%>M>zwS&M_H;w{1EUeZyC)mQGdz+wC0tkK!e(n%G}&9{&1ZHXYh zc+(4l6jqMUBSxTL=GVR2`<5wf!Oed=M74xnQF}H#j~48k;9bi6tvB5>=7F5;FLy3` zdU9rkN2?vZ1>Bn`4nH5@I>B+1+HPR6$Q~?B`Bj7%=KG0)C0{TXTl1xFIb{UfM$~@_yQom%~b#DTX$LUfQ@0vSxzOQXbbQ}t4q*2QJy=XGBLLnH$S;}3G9(Hj6YQHZ0k z7^t&7Bvv2btb08Pr#{#q!WEE}eVlx{aK?oH1E%mBU?8TwYq!3mOBU|@uoW^2v+u+RZiEAfu z^_2tlGhV*^OLEcT>BfRg$BMUf+GiN5Um0Sb;>xvkjmM%Fl2iM10%@5tGUFLLdtM4k zfUGqy2e&U)-6YLrh7c78eg3pP5f-D`XC(fY`f}<{^L>=mGw_7P`xcgAle<3Xij1&4 zn8A+sL}Ye4F*KOr&{BMvMa)%4f&LjcT#Qo$uI{0ZA?NChyM}DI6%%yiq&r@V;EMe; z`=9H8ov9DXs_;9nV*NCPSZD|8GK^)NUE=;9QcKZ9&u@HgJ%aeT(eZQp`2Np|Q3|!47PDgcL^zsy0=Z=pJn>|Igp_GVqMe}G6ZMpwL zt!z2lib>4ahN06ZQ1%Ep?w}pJw2rN9LQ;rP&^Bgh|d;nvI+D4iqcVv zA~iWuiXm@{fBp}9#plqth5FplYc>}VCD)vB^8mg9kBS_B@IN=03rxPf$fgHVgADS^ zV3JpP^MiA9t|E)Vs%**zZk;?!H(JztTt)Hm+AD~%p zdUM119DH`c z(C??u<)-xn)T$vHO$Kn(4%03)#YPP)v)dHU|RK*!P1I@SPk?;ChJ4E{78D9@^l5}}k!h~J8KEa7=-eO=-(8@HH| z#K83h6tl=PHGah4jXE0h?ti%-T6ppLYu)4u(rsOZdpiIeOcINBz3C`pgR z-8=r+CQw9Ud-4{KT7zi0`f;s8F#B8-L2~Tp|7pq2zN2-@?$wCgD`9K_DbBu9_b2!7D`78;x`g{Znx>)O z`+u?hSZP>4QfcKp^8bDJYbRT)0-^PJCWr(K>EDhE`Zj4!-%3U0Lly&qQ@=INosc!= z+UH$;bHIV61NDn#1Tyx)aehSdX(7kMbi+%ZV|}U0YfhbCftNZfX*@oKA6TO^p(F z!_G`@e#d4VxGqAXH_Px;K`AiOxjv6VQ=4@n<8)c$ zoS9xe-X{v)rxfMiT}&|W6w zN)_|8G$5apym2TjyODI4*%YN>Hq&`q&b(qtE5Awpqa_@MKmHdW75#!pNo%ZFehe+Q zSx%Bba)(2oh*>?Idw4u0G~RbiTpLPSKNr5oc0AMX>0wM>u{&5Z>FKqAyGS4BaI&k- zp=_SH?Tjjs7WnTM&z4CA=Ur3~?J4RAM~Cn=s6(7f*|9!TT)|#n{D+*4qZEyivF6c4 z*=NH`C$wldowB(I{rZoX?f2zE9#?n}n>*PtLhkZ0+t0C%8fs8FQB2^0df!J^PRnB` ziRAR9YI-ag(?&mLN<}~(qt+Tu+CVi9v2$Cwzb#vbwZGY;N%4JpuAF68lRLTOkKu%q ztnUb;9KurU6}88y|6Pu%G-|)?A?M6Yz#i*JzZ8KKmaseFx&Oz8f%Y;gE-2%GGvp*P z_ZSku>o#6hZsNZDQHdzO)$M3!?RZ`DPFRt3Iyo(x(()8GgFTUn`1h#kpUtyYeFsmI zX~WNohMl*|pKHrOHN=o<7mH_!K^#gp4M9?#jS6~735^{rt)pH*L9D|TP5b8qAm*VWUa=CN z5&REvCK7nR=&7qKCyQo6By z3~KzJouxhgF_>l7+oOLHDaV ztUk-R0P1xO;e{-PJAU6xgyNgAO?X1*01HN@(Zo)J)|(y=q$?e;ytM8s=72n3;O{BiQ?Lv8?lwp_~I#dQtLViQn5tRW&{(N75dws z{m4nCu*F@#n1ao^{E!>f&9#?X8!h(RPJ@jxwp0brn+{!`2+Lh-{q5&JWi8JM)FqDX z{H$%q0oPBw&W`Q=4*h@(cYCga^B{F;m9Yyo;YBzMa@#OmMMK-t`ojLG--Hby;ER$P_XM zth=WZxrkx^7&Q?>MPo@UIz^Moa9;1-Y+p=VxUE3z5a{vMhHu4e7CHOc$P;w~E~fO% z7z1q$#^huys7)qFe$SkqQ7|s0%>*%JH17%Q_vX@#TqydVg-v%M8uGx;;M?SkNMX;B z!)ThR2|&4J*&p2wi>!vS@bdq=Bgvr8)D=!HWV@*za}S;Y()`&XSD03Akymb+RBDk^ ziZU-vhqj7k;8_E}$xReD#8?FNduPE}*S#%v^#oxaOdGcG#)k&3#%v>Nw z6>*GFrhJ2ICb6F8_AbH{SASN-$W0TV0qnxP{kJry&# z3oqF3P7fbozfUJWzi-r!ci+duTt3U%^{%Mgj|R{C5Z@(>tNPvV;M~hqcQMyTY?r0q ziQlN5R;ZH0c~N#!ppAGna)xWk-8;!$_K|K3pz>L=YO z%Lz2>uTZxM!h)2a)W(U$`E-z`~RkrmbZ5EIp)^^&$xVkeox$ zl8teQBxD_HrQM(z10iFkERehl&mQqps}S(|4YqtR?4 z{-i&{Dc{Kn+TFaRWX@%XRG6#q?iBNgu*OGlaj8%#))O&> z(fn`^gq*-vQFNS49!>?Upc+pUka7ue=Ma>zxC(>Il7e1|z!1U|R!Uqw18hae%BBi!2=&zmaNSs3D$M&iWupk8Pa;W&GdyROMF-Jmz#PgM zd2T`Ei`W|Ov9cbW?AJJphxe5YPsOOwr{!i?ae z2`Sm;>l($4LbHrgWml*M<9%eV4-cD}RrC(R5x-(+DljR+H4wT*+Y2ws(+!^+tXuoZ z0=AU#FG!gx5==N$F8oOp)#2%6Qpm%~gldg|MU|l^egi3{76kq!%v7>&-#ZL5rpBMz zX63^B#@?ZqM7(zd@q0TN+XQew=RW6LjbQzh9KBX%V_R z%Sar`8Kq{{Y$zjU3aE)&SZfXJOe$OCWPB!aA`fA!k;oq%8>2pzR-ZP> zDf^nEg%?oi+~0-UaiV>+(mF1#zIfN6#*c?>aGiuGr_mD%P?OnG?3h}MOPR^h^pv$<8 zB)!!4--#^(|0K4^lWjPS1HS-nmz30tZE=RhV^X#-v7|#=>vAdd%??O^qGfGS|BTGe z@b%NDs@r;Myd#5nk}-A1v#HDVC{ccCigXN;PP}^oWUk;y`;1?!{r*u(>b~a{z&|w! zA7^%~BC&%61sm5-=_E@qeY#0yQZQV({;{?%vPmKX{^x5JF=SBGSyo{ikg($N!I7h= z4ITLepreVZ|8<^6=x;Z+kZ_YbKChRY&KdJ=u&@@FRv2+2Kr>pG4Q6QUckZLz_7}4i z5zkU;=SN)FifWfu&$Q?~xVGx=fHrO3_*xQI*N>(DSQUNDI{!#@&Mx@(y2!OtG3l@V zUzd&t))AcT)qAIvM<z)6p+ zx4FLFe&k~lZ;ONRd-Ly*OAXbF8(PXm8%&Po@q>JV<=rZ*8CcUuALiQE# zPf4LF#S~6BjFW;PX3+?q@e>bB@_RA$3aSK-d1uA_cB*=AYS*-S4m5fNf{K{dR&os!fto&mjm(-I?NWc(q=_ZnXN>#lNyoLah3| z(yv#eHpN%uXJpEO>_076fwO6mThSX?GCYrq%=uQaW>Z)A>tw1}{1Oo5X{m z&4B@=&h4O$^Xgt`3ZvYRdSj=~`$r^u*BtoJFtIoKY+}0(v98Wi>W`uECBujc9-T>g zaM3w?`~t4!9Mg%4!tn~bZLWfvH4pfBI%I7QR1n%*=C#Bst1?wjYam7Ayc-WlWDgKSf-SCSx*w;2|5o72;DBmp0Z~??T2W=X zl(0X;qn51{_S&Q?W9NLe{QgEGpPX>Y5rEgu6ypd9_fV|5NnE=?jIm_yApu4_$uuTq zq}D>>39xndL>Czk!CS%XjCYx-kIgK=n{3L<&A@qK-q;z5v5pei%VmIO#^$=vjxLbH1yFXe+uD&j?;aUwK5Jb+^+ z^Jyl@0w@0f++*ykr32s$gNA3YsSE9(bdh;?Zhn%i_a|3MZ-51w6tpZyv)e@{*Iz2- zG)JfY&=ytH#UP%lS30P|HNw;;$S)JF*ouu(-_y-^q_w&5>Hg!_?J90Bq)E=OiG%2j zCV0~x6?fiuv65xf;C$2;DTZmHf!xshQN#m~V&is4N_E>%(GK>9mh}_~?B_KsCkHi` zsD8Nmb~cdJ>tz@x~?Wk+cU z%e(wLzp~+*!0MGvNzseuC!e&q?dQf4>b`H`ZxRN zC&Ob|E&6>D5?A($GEY&|{$nU;A!^`3{7E^{Ws*MPCuWMEEP0TIz7OO&yf#@N=u9&k zvHY+fJ+;qA5&fIgTRO!vg;+16pQu~vTXH`J?K-8}BSHfb9b7q4a)se0`WDQT$2#59 z4v@qr0>ZwWXIZnSe(R;9*^v?+8uQJcQE4vL|ht>X1 zq{XiP|BbX**SXzvXul1NY-f}l*qF!9)abF$hnk0{FOzgBek^bm#PnXPJ{Rc^A^8XC zu&DZY=!0jg>z`ZI;ncE0kslrZwx=$?XXtIxCD-Gx3hZKE2g4~CDSe{Fr7$^ z@k2gsG)6_Q-<8T1B3vJh4G1~U|6jy7Xfbq$`)?ydmPCMqwg#3H8ywQj0pULhtN6=# z(;%P1cyD6LNG`_kypQ0B{*j$@CN{7n(=Pd> zR8?^uhCD5FPZ=STtRVkAeiYqU4p;JloqDp6YIE@l;7IIll;T+9@mPwD){fUXCWDvJ zV|e8)R%9djQ6kKD=6LwuG(!!I)zs25?P2~F!Um>InFM|{p!+)k)&SYjAn6mIsF@Ej8rEkZm`8~aZ=Qe0&a^Sc`cnL{b*Z0| z*AT3%z9gu$J}^XT7)4q3YKxYKbR)BET)Yl%W8^#`LVuXs$HKD8XD}+ z$AIEo06$-R+WkMIM{%ihA-9%!FM{kDj(5yEZB4k|u-Ih2auIbZQwhP%k!bRj$ipCK zmcw}It{Q#6MOvR@&bX8)g$T+IiWd?wa%FjobqO!V^b-?_z$P>rlJA=|StkpjG-3Pk zy4ayAENZf?V^rqMIx}Cs5%>qTON-5R6K7#PuCCaOtfMPD9WoZ>GZ#4 zM@gkg=R7VzVj7IzS<91s_Rn;IC0i$SVXhUB1_nj7JP(l)*bFTfE;Bh}8nYAKRb?1Zg)$F&tBNNX*DST(o9h>q-iPh3c@!X&YPt+%A7Dut6B#hKCaQ;xb^od);Ez7m zeyfgKhQAgq%wU1*Wt9ELXd*SZ+@XvB2SQ>J)er~tsp*lXPL)ZadW*rKUfCp%DYr59Ze%&I97ky!I@9|qdxQn1JahpDt$A<|l+eA# z{sTA|m-~7uP$b(rN=P$1t8`GkD7jkRLm0UYk{q|cB9^K>%m4}5YHch+!lkV*l2}0u z1!fkn%OVUa{jmM=h>dOU{15g}4Feu<_~RrDg#s9(%uGCE2=$!GOMpz<*=VF0HP#>4 zvUX%q;Yj`wXvGI)x&5=zP1fNy;`?e7m{twC^|{8D2a3{W4lpr3{4-AH2(}xH$)hWL zsKSlmBB8gW8CIFj@e#|hv{$TH7kH_9l4r0x1aWzj^xLhGysV>ne>oWse_lhzd`po4 zu`^<;IkjI{!una6&HdWlBM2fHWJa2x*=7Cdq6bkl(VjtqV##~?G1;TW{dDP{u~8dq z!BKqD#es}JMIRYQ8eyiCcOG~cD+Rkj)KU2ayCWK9PMOyZnh~mhYwkv!QY4}QNs~L` ziek=|G$eXpa4bad%1-+`90V6Tks4A#`<2^5xvp+wyREpyEF4NavPU|!NOfwPgAE?{w*8iTqWdz;XJ9}_|9H%&#>qSU{0 zX<>TahpGzBE;63%(Lmq|txjP3qX7aR>JSeLww~iP*oUNz4RApIe;`IV1vMd`-Zt8; zFsQ)G9YrJawBSOe^Uu^oODv{EDl&2*G*vX|SGitwUsFGYUlaHLM^+2&8X&7R>+xEc z4V9%XH6}3U0KBcNZ!`uY{mf{fNRA2vJMO+_SiFJ*j# zYjA27>dFLE@Xq{k-@;zk$!>EC+%2v{BRybm`I(?#i_;l2y8A{2E@3C%I9-G?ykiC_ zV|`ov!&VDqSeE5WA}$<)u>h)CzRgLp097r`BmsiXc9}y#O zS**457-NJcDodHKJZU5>8-??OR$7KpW`L>|NB4k1n$^@3M2?_4BocSb4zM_IxdE#3 zES+K~(1OeMgW81I*q@u_xB%fcDre!xt_eBguy2 zb#=+pt57m_!8~Tc{n;Ze8Fpt{J_E~ft9=F5ng$FewAa*a)QtS0q0Td*MuH#c10yEMDH@5*M(+HP^B04?)MPD8 z&Bzj+ci?Z$KJSLO zqY+_>6e$&&vnt+NA?L0S4!sL51g*)w{=JUp%32OVtVL6+93sGT4yGp|pWx7w0ua@r zr%y4<`A1YMWax5xW!~FrHAU|IlG%!ksks%8EjTl*_-5BlxT82Gwms67cfx_)QP^+Q zgyggDmkTB-o%L4ZY8^G$ur_;+jqF#h>o45ar!K}lTsax;)PlLqAC?C{H}2Rhg}Lwh zPCkDzrxhK|+=ca)UVD6y5%tB0QX&u!>y7hi4E? z1mQ^UNpXUUvR+cHET=xsFO`M-zr_hB(xm$9)c47kuk)kb1ML)4H?PN|5Er|EvP4<= z;CS>h03IG!@+68hF1+OLaKazytz1lT_Fr0_J)8||9B67?oDE}Z%aMRK&QLymykA|5 zISjG9`gm(pA%OB1-t~pIqlqy5V7t@59%}3WdXKpCE>r1ywm#=14Bq|TPBuP61ECFj znfnd7jYZ@JI2{8BIS0(t6xvUzG{&H!$AgKtVhMr$cF_YyCpLId}UG@?ck; z*R1Z^TwGD#U;Eu4aO$ghLnZrw!E;AYe($^IMcK3U*S&cHyAaSdM7$&rP{p2Lq2=us zmUtB45C|K=p-J<@wTc-!KpUc^%l*V35bx`MqxUVKcyxVtvX?>BNct@!25XFaxi97H{A<*!7@>}hO&_Oi=#<#&WD{8z z((}geSqy^cH~#Aq^ItspPIq^X`&L$K&vcY`dKhSjCLv1I z9cSSp5Q;ZK(p=1NDg`DP*mB+I#Ct()S8J=LnFP%!uy5R_; zT_I;^Z9A~bavjXsNEW`(VXtYL8&hG=Y|grz3e<1ff>vr}7mhM{bZ?XWn*iN?cd#fc01{9@GjJiLb`OBsy%duRS0{d@?A!#z0SijuaOzYnK91& zlWW6lOT&&d>5gqn?KOQlanO_Nlg4}$@f;loycBiJVwq z=52RJUu6bl|Aev`Dmn4T-g@I126l;sz48L|wCYZ$!;lG7eeb-n_FfqW(%d3W2B9YA zM$Q-qAZ}Xue+#b~Jn{fa7b~l5;Z+B5H~#S3bPH}m5f8w34UvSmre5ueXaGW7It7u$ zrlCTWH@vR@4@Wk@qIW(GiJS{)O%?)_yqo?!fKGtkI;2ZL4Hn;emqY^`UcZIgRw7(N zPKea_66|V)Utzoy?i6}TvcpGf(ZJ$B zcMOa8Ai}$)0X+9&!*NLnKm(pKyU+iZZ#bSyQL`yTV$kyHu;~N_mM@FD`X1EoP<WQn$ihVPzX+3+|t!OOXxgUSESyhQHja>jk-Zoku#O%4Sen0id>Cc!oY7<6E8#(gPKkwi(kxoL6yQD=eBOKyd(G$})C0W^2FvdJM(YD9Re}b{>W|GdIv; z=UYt^vil3_Z9dUJ;ewU{(sK;z;Xrhaa9_1c$)SuvCJJPVd9y1Rg}bSpwA!Z|u&UE< zR~+j&NO0`GL)RQVN~-MDd?D{FYU!>Yh&Uj_5+yW;kKdTE0uLvJq$;n zkVhORqhZO1C6PAX6g|kuU+Pz9;D?D>HtA#7j7~7&l(ru1H?ka|@&ZU5>Nbc=<`Qo>77lnOb za%TR0th>s1>)Wlo4GWMF{!36V5dGG7eU=x8ab^i(o`iHjgfqE_7#y?(Py<5}!~9Gm z^uCZo#rK4U3Th`;j+f`ar#GyFUGZhvK$*QLL6q{}rj1g7_~IW6*1dh6q)rCzRkz9q z^LrX&Oa|%|FUU7Jxj4cdr}3$75{BOOG9h%cn&OuXXYNaC4z$WJ3uDTQd9p$ILhY4fu3K=!oi!5XhjxE6Ih#yQL+9UDVc8-U7LT)M=YfZ6sKBYxN)@vmXh7f8Tm#jW~`u2-)E*-$; zn9f*dNPw91OEZ#Om7ZJ`Uh|Q;)ausV^o|p9O45bydS;g=I8t32TTNJj(oiEI`21`j zl1xP!W0~2gnB~{w@kJO=uYP77K&x4DAacJfjik!o1b>mojgWKY;)DhDtjN%l!5r?l z*LWRkrVP%hdage$*`riYbvj+u{8mNzfK(l=**(qOL0(@aIdtV?r%_}b%;3Ucd?j6F zF=VAcO)6g1cx(_*O)^#$NSl>bUDvUy42&9x4mG((`$X6oy?fbXV!#rBW7)H~NRE7V z>3o6)a9+TwMq;F>`?L<~TtMnF-Ad>EvJKVczf=SD*&o$qg=xr6Xn<>ypDMxHt>$Y{ zZX>CC$18NniPd%A5kR`l8fvG#3x(Kprx<&L3Tk0O`4a6@_76__8vP<`VJgm{duV2# zFr0OUElrQic>QANQb}%up(XW_5^JRDN(D!Ft5ODP#!T z`tLr^(5Cb$@a3q0oNj9tMRJ~#$BkQ=HfJkBm$@p5nsRIN39FPeE};k?!AqPa%Z6YR zaHPMR`q2o~COl9N?t8Z9>c&6I-OZA=BcvUS1j${$Kt^@m|Hgk%G%JpEh~i86SH*c2a~qs&YJ) zSC(|OcaZU3Q(&_Y5i9bmXrP;v;3{yAGk6M2v!96qS*p%)!BZGN_F+=w2`sD)bbsnM z(t01kW$4$GaLVzLd0&s^388tJ2f^gu%Ryd=43HBxX>vVFL7@j8#Us;PUvE?A?_Xc1 zpaR5H&M6#Promr zF*MM!Y+mS^wSqb=eW-wgZ(eA10uFcEdW}pe;-cY~!7m+Wb(lZE{Wp$VTlA~%I=@{M)snQ1Oly)xwoy`C00gi>B`V3si7ufD!IVf8Ib0yqm_JDmrROZNu`?VMB=52f-eeP7U~*JCrm_?tpkmzKnrk1=F2KXrBRP@nv|-f#H9)- zL7x=`n-o|WIy1si0W&|)^C+DSY&Zgt+c)np#^}-lss}in=rXoMs0|Gb2KPGX{T{C|2j}%6c%&rQ znE{<^qQ?d$co|@egTkv*iU_)50C7of59A5Ka7+BQ9B2zzp<3N7P;@SaWJzk(WsqQ&)y%Y zhUYCZg<2(F-j~)OH29$2Hbmt3rHC^1oQ|&G$>->VHAWRf$cB1iJmV2>SQJlxx3qmn z2;W~)<6KK_>-_9rqCMH8i6GcdACw~-tL?ege!^_e5-*CC-Mm0W3dT5h+`L$zf#M{5 z#~Pyr&-)FQ#-ZgZnMo;X?U|aTZr>_CLy@Q|@Y6NPI36XvJ}?DJ;4>4#QjYC%9mw5d zpD;_+$qE|`P_ZvGV8d>;)nN`Jq`-k4ud@wEKjs~)FtS!M#e zE41O!-BJOE6EcI{d2P@E+xyY(^OSaTYH*gSPl=v>w3c>b zcDBzknnSsA5JN)I5#GCNZ`k^~P^jXbuUAa?|uO=@fS-DCsLJ$?j!}-;Zg zA^)4-E2&JnHTpTMI}ct%YVuG_RW}Q-Bh9^9wQpOeov&7JE0w;KZ>Q}K6+5x~zRs6y zTpj2>zFG-}6p*Zi3?-GrsM!1~nc%WswV;H0k8(RMZvn*1_o}7OB?|~2K1-I}mR}@4 z9lNBTkNOq{x4%xFhr6DZoOGPrz8)J??R%;;C(f+Q7zn=~@2{T*YfBlv|87OK=IRcq z1U@`mi(XahpWhXzRj#qj0~o4S60z=T)BI|t{tS7Iebgp46F=VmnVNs%<~Z3ymL-hx zIIjABYGIzCpCLK6uH*MYx z@<;w*@6-;S=VB+D%L4ea=(9t?x9E>?;AC&++ zu3>djRqUb9gZo<+y{Ty6OmJ=-rV4ThL|P6KW?9ppk0@2!$y1=F11gUML*GfEc*Q{A zCA>Ht&-)A=8;RctaE|ote8lkZ>SdBZWA&<$2Sn-C4|k=ix1fwkQyqfkNZiQ90oJ@E z4w{AwZlRa9qns|s1kIzd{#5NtWJvKUfK~y<<$N3?yAe1QqP7vS1R72~0h!a8ARTN( zABkF6aG;`Rf02rT_7CVsK*h^%3II zvM>LgYFvrMt9LDa5Eb#cf6G};7{@^I5Ooj~!iCn9PDhCVk2VFw4oc4AXi{eo8i6g6hD-v^Wc2Sr1XAP9;`8V^_pj2bIgI6A^b($U34fPw}y z5tYT$K|~=KD#F3hQba@y27)m$R;A-XaOx8=!Nt;2gaC*3`|WO|rJ!lTF7*d15*OrP zo$fAxwO@e3=u32>ii#~JL0{o*;}KlO>+MuZd!M5&83M2GB8O+j-iz#a}o8R4t z`Z(SEqt2vm@v-OO%|{Qnm37rmE@v?Z8}Mc5;%KN7PgfS%{RiUY{`d(I^p*xC7&L8g z;^K~Q#7n+QahM^|N5-!9k8qrcH$k+ju1}&596kLQe#PBhY3v@~&dFi#_b zUvE2BPK;gmR>c4k*-!p-=M|+b;>J>yw_`pk@@ly#|AS^rvW|4-A26{C#r3B~6-`v! z8cAY6QPlGVeFA)1t9p4jKO$k53y}Maq*zZUfn6*(YtmtUM8huR_177}G*QtB_(2Fp zme14?4O-095de*|z-j2Xhwi~tP6LQOrm(@Nhct=niK;k21&0^S6cyr^;lR+9Gec%j zB+Nq#BFiTSK!Ik{MH%y98i^=G^f3a4Dkq>^h;23DF!Sl6fUI@f_d6OCunbjA^K}B3 zl0!-;6%;W>f=|at@{HncqKFKeOcZtM66ruDR}!3&i&RY%5V7E~7lEY&As&Gi;sj?6 z9_YlIvIjI0rG1dP!I zQ3_l^l+`s9(Fajbm7MDY-pa93(`_<2Zi$*zkYr@Yrv9Sj#W>~VB~lT>6a+iP--48J zmc?I>g$(xc=Y*81PDfT|ca8Q%W_98DFR}h|Mxru378FF2K}coL86Tn%tDOu0ok+&x z?cvT?QqW3910JzRAZeq5RszE+;f*8;1Q$nE$eX4DflkI0B{r-mh`&)_Qe0H23#EdA zOGOY3*o!1a!X`n3$>Fi|Q7FSqraM(eUFtA^Q^{aV4~tZSP|lMo>eo?8W=|JNL5KY1 zPvy!8PDP1Wa+S0IsqE1JLX3!1jMOj#rAKnOo6kDHnwu<<4j-ljt?b~D5f2|S56u!N z6rhkE5Umcw0yL*&2tlAmE7QL>EX0l$TEle0s75~tB77D?&4}I42n$z?RU)o0#ylw^ zY#C}Pepg@!C#}RLVcR9(gUkL5Kub zRZh~xcw91KEnP{=DD*wi$f%{h!ODoep(;B)hOV0OSV$Tw5}Q(?xgdQmCcS8M%T_~6 z8%^j>RJ4Z2(8ip{DU4nWM1Xh~W(*|5GY+Ys$q-Mp$0#!c*1i_qUpHccy3NWEumt2A z^l?6))ifBu5BN6K5O}IbPCOF*zOE3j&Sg}v6BDvM@;D;LnL5;wdw`Fh0zdQpk>G1z z8wcc_Lwzm$_;7G93l#&E0|Ok2fN0l`@UTcf)p#J9eLlfWSfJ`s_e-t6?*$x@~1Vw1Q2T z{5O=EOd0ZT3^xQujYV{!OMxBIyAT-NUH%xhdfR= z$guLEzJ!4BVTZr{9ZX%Yb^dTSAT?x#@BR|weT_e=e}KM&E>(}{5tdchr9ji@w$enx zRA^6urBrC6i-9jyn-v&csEGmzx>jZY$WxbW-W>p29;+Ry$N~ymG~dyE7i!?Q02t;jmY>NMu&RBq5 zNQi%e4K7w62Lzm}HHs4|w`NBD)8>Yz*E&8Vv|MdwNPMoAp)l`SNm3RMxy^EW>sl!z z@FQMLhv+CY%w&s(2UB9Xx}lW#y8PLOPGpS+b#jHbm>vbi^q+Ays8Zx9tXXvwS2U>d zHvp`J8YMOakG;lFg z+EZKs72|5o9mvXPD^GzymB=XA8Xlhta56INlYIl_A%O-)B!&=J8n}~xkdwez9Q>sW zQrQH689^bc-j5E#$9%d3AbNe)qyvj@~DCui8QQw8qTy97^8&+DR5z8 z^bUYcnqllvgMibRHrl;atug_%yo-bkpR4llpem1qgiR;_Nm{KjUOO;r>0oWhp%$P6wV@La7UXkZFti7~59P71eVCh4hePtA=I+K$e!e^}r>5yRpK&kY zAw-AC-VaV^7MGohh2MgKy3yWiFj1~7Z6rxYgC?f|P#mBYRA+8R>ylOY8u}AfKT0Zw zwFd1xGh~PDT#aS(mEa2N>wtv8IZks^?I1gHN*XTL9=Z06^|n#kUlibf!d=t1Y(;Kf zm8|;`C{OvJ6YiCLzUb6+`$ZtHPg-uM?5L@Kv}AH8@o(ViL_;LaEs2wc2ix!)BMA`= zTM7X|)LNp-feuJG;QVg&&;bu68B!Gp&)7UnHX|XDKzOzowt$CXi_-4vI=Y2{&ciD8 z-y?bvSw$PBPP8TN3bcwk#ION_>dix9#0#3k8(=9r=B!Bo0lJT|oh9M?Q}ZLx-G+rY z)XN5!@)fd%FEWUXQGu5%0QiW+(0NKcHZaa+h9W(w$&^bnYgiHpGuJIK4ydNUmLC>) zudWReifD}0e@ZhM>TxuS&EjG@a+yYek3NveVi#e^g1lz?~l}3p$t6T=r>G1Ss-h=0W zi`LZ!Zlao^=|j4lhfb%>2th?*R9ORq1OLO|iShx6J<0%x?F)!~9|Z+G#sG-@0f>E! z35eZ$DtQ}~3)g!(2B^q-0kL1fV*-va0kQQTSbI51eCG!5qI&3hPo@7x^^oK7R@eiX!=VERD&B3r{AVk&C$_8JfYy^03=A7YLj3-}_liT{n_ z1j^QbAb%0n57mD#1yqUpvsd*Wfg^qQusERo3~!nGc*wn{2QR}BbiJGj$C#9fANwNY zy_|~u404CB!x6lI%|V8FMl4^)z!OZ$|LL?i6Lv8vnE^gR^|K}JVLSLz^=Gj(d+ml~rwBZY?5aR9@Y8ZL-Ko<_Zy@nf1>$`>#@;TKRZ*OjW?R#vn z3aeVTfv+DEwYMbh_ge-%Xt!T)XDbmmsM47MUd*eWWSf49AZBiAb|Vk_uE2zXAYL$n zIM5>n=#bJ$m21b&yaL40L-b_?yB!h_6{GZgF<2YtoBicy&>h#l>DuL9S7&=W!HyUg z5tOECqb01I9a+)~P4o&{?LM0PE?!)iBGO$(?sIeFoxE;BaNI@=*$wK5*le$k4KFNO zh**5Tjs>(ekPaf^$@Wc2Z3fej1~O|GRdq+d`P0bG*einG%ACs z5hLq{`uxm?M}I@!`NE6;uE@~;7yTSs^4MvMx_jF8@ZRyXA0K2HHRIHrtSedyeh`>q~U+#B*V#L(9p0 zt!`)d7H-g;mxlBWo8aJ%eb_-|zvwD=TAbqNz|LZ)wTvfj!icZq(BWn4Ua|YaSrz-l zTJ7}twjN1>FK#sGQ>J!71L$mk-jsm3-py`*Z13`z?%Dndm&0zDZ9+d`OR5Brm{XL8 z1qu`}8aW6C4kz|0t=|%=kmrn(ijcr1ceYiu43up|@NB9SlKI$YQT+KOjDJdJf?0 zUGyJco&aA-uwXwh)p?QT1DUvy7&n?qvkF1;f5U*y%3M!?2c}BW;y@+N0FWq1%7hGY z%(>k_P102fhc}6>wh@|y`EMb$F_$Z= z$1T%~5A}pxLiT|@K0SZ2lKuT^Y;}$7?PawQhNd__jH_(i8Cg+ZaYr@w_?r7Y%rZe% zcvy8b*R67Z3%j@STBk<vbSqh|8{3Nt1H>cs$nCF$T@r?{MvwOq5Q6Mo2r6Lx*cw;Mn3F> zbiUT&avsZSh`2IjRE`8D32}aHMcsF|FA! zBUE$sYR@q_T#mT?4(aw5S*sh=v0XMYdokOX=vB#3aQ~oE_ReM+- z_R8RA3K+{-QQvqoBP*e>#J?ajpH|fUxZ>{|F;b*-cZGHO&S2kS)%w({%>Y2K8MY0r zl+(fm;lYm$7M61_2aButupuSXUoqy!Xr3(`DnN)JBBUk_<|c{!Q)QIuDEiQj$}L^z zd~YRb&O>=th%Bv|vwiEwer0A|W8OS1xSn1Py{OtM?Yr#s0aBC&Lo|Yr%Rp@zUgTSajL4Y&kK(OV{15GEXS$#8B-&Q!dP59hyLlwJ$O8?)&C z8CMGaP$TGL^?Fg?X$|lVToy?3LoBQZxi07c&k`a2(imZXe>ObQ5M!hJ9F!D?oEY6R zltV)v3=iMT;rNZy)IINBYGeAWBH@~9 z1wLQ22cROHjcSC?Hoql8FQQsuNqEZs)wRu!5X8b3yCRW)q)k>wgN(a?Fs+bahjS(e zM7k~+OXZ94hY43^$a|t;X=Byj&5_+{7T40IkJAnNARMlU(<~?gia=azFB0BFeoSCw z7uV9EPvQxSGy?ATKelxHjL}aI8;N(C8UyT4*77#z zMLN>~j`>~x1YW~vGUnv{ba)D*9#cyQY}`KOY+JZRF&tDzyV7V!;Sp)P#(ojB=IHY9 zV*OX$=h3?0dN{%89q3%OKYiXOEjRYcGIgkYY)YSp+{pYJWS%HpgN~GjEAn5Od7c4! zqz$t#*NTRfFO$JJCrep8@^u<^^>SDTPoj#qHkB4gpOQOQjsxXw?>&>FAX~sn@(3XE z={9)*Gg$n`_W~gwYN!FPB~Z)Tup^`?_Bob+Aysjt#TuZW$PoY;KSy${8t=<-BFNcY zlGPBfNM>s9;ssA)+f{Bs;7}};e@W|C8SK?c`$2*;mOc|SmXbIsHw=IWCeJ@5=m$XJzsHNL@PTjObpSSPbYUk12;-+= zhb?y4fkIgY4qA2#VU}3}6ezPmL)dVvvdZ2&?6N{Bg+f>X%HB{$*-!|3@BQC%EX8NZ zI@z&eC%V_#(Y<-^dy?*+ZS4LxDrIfZqWXby?-ngfvHVQqZ9g|{7doQsjPQ*!KebDD zx_Rip;pgj&$X@Vr{~22%^6VLy;>+2aZly}`bE$U)R(*KBzSzFoNy$%!)@vO;y5Xcp zTlOtFmV1>?Xx(h-qnd3>)y#M0vC?C!bj$e5#R4feH}~(kHt@!v*8B7CY43Zc$hkos zgDPKo*e&~5@1nW7FYdLfO>l}oH;roX)xBpUDi=ET#h*pP%N6Ky^Wh?#Vzd9A;kUeZ zo^Ca{O^NnHu8uf7b!b$w;ORd+TAKN*c9jM%&f0wD*jx)M-*52yXDgcpWeeC=c-8TL zW>zeW4_zL9W?!CG$qO#sIjJJ<^4WkM4a0M{uFx<%OXXw@!_#iRyCPlr0wD#zypXkP zgV8CP_FR^=O!-DDvu2oHuvzf%6}P_%dRBPj$R;m~1q^Sr$vbV}>1D~%zf5sp%9ziC zyh1ySnozY}>6;7Z7AsP)&cfu!Dv$5}TfPdbexGqDFmLzXJ(rcN5mG43`?od8e_NdV zw^hl1Tb}&4waIUPo&I>ode4WYNs=Ou(5c_(72`@Qj@a_kcdf^bx&7;vr?s0cZ9lx> zuOU^^G-^F5qImsDDW|NQlV;VD&pX!-Z_s91$m}|I2h>_U`RJRPLxM&(I+=0elEaG& zmj3)k-ct8#`kecIa_7ilnfebr^>WntPp`97PTmUpv-cSOq)qUjm*%FO-?3+g zcdyr7s-5DCgFQwau6J`s$blB&4=!yPw<+K9O#eI6Ghh8>iK;w$bnV^FdbxhgQKfl_ zc~^HIPZfE2*3~RI9=w_M=e$C`l~*52wCKUe?Mr^S=k=&t_UkBBolu_}5C1z@s#1|e zm49u&aoM-&&%eyxCM@IQG#P$5QZ`@v``e1N$-QQz(B+$w9nQ^MKP=zbz4MZI7cX*V zOs{c%fq(D*es%jfo7>F{?_8;9#xp%zzRR&=%fwOsFIQ(jR`A8wA5-sJxM<7KgFZ!C z=P7se?voUgH$Ci= zj<5doU!{-lc3j!k^>vm8+xypBb^7wr!uvjUI&%3<|C-yoex2jPmDNl8R?44a|Kmvu z7S;V&w^i4(`4;RVhdb+D&RzJ#XCJTJxcAq%2ODR8-1lJl`N{8JwJMgh_g`oCzIlA= zc9$Oq__r8e^kbh)VSU$@C%-c~YwnB9n+L3}duIH$0Rt{P-Z4HR_x2X42K`j3(!~K; ze+wzvW%{F*57xZ9fBw+OX`e!)dKa&B`HMC?cJB55!goznyS05QzCK>z!TcAMQsuvy zDaDG)f%!`BzV>F{ldDW~-VmY90BDPtBXt z+lH@6aqq<3igoWNu8`{0s?!%s%;@+kciYeAKM1{;t9yt3Q$GIja>ll~eOvW;b@y^w zT&(luGdpfHd$D%LnnBw#y?wJ|(1#77@Aj;$*XHk2W5Oaz-FtWH;D+`a-rbtrG(~vQ z4G;P+%+a_`*AIL8RbJin!l@${Ll%9t@#W?h=bI+~r^V5&p&OsI>D}T;Na2%v`jpwc zWz(89*|#QY^5c$=nP<-Za>SY5PhXv!du+k6ZIxRkncr^yuERg?uP}P+`u+3%p0&Qr zpnRvgRalcFA9dC%ye#^Vdl>U?Kw?uTbCo&K~v;^Tm&=eC5rUpVj3 z{(z*jCZy^1=H;7zht(Opv3%1h6DJfNQ!z=Rb;&=i-1ef{^=w7_)A)Vba=h4uWG8oR zIq|gP`h4@d?(j|Zy8W>;tuAl4{Ke2pLyKiA(eu%OfKO|W-~GKoo7z*8|2O)?Pg&+? zu9xgdyW;`-!*-?~l;nTgE1oP_YtzS0ou-T~U;f$AawUuY)nUt*4a(eE`0kr-ednI; zxuM6%8zEbYXI#Fj>ZQ3;h8>C6(r@)RK3xaQx(vo~M4`}d5b?|P)&>bJ7nmK#A$!YC@9_2A$=SAU?;QBqgo8W65@$P0e&;Fl+55e7qCWZOx!NS- zmP+ACW-MO+^v022bH2H~`ir{juKhmiW6AXW7uEkGdCOT}&N}qGQUBKAJN~(HVM6Z7 z&2khOdF_11ThITz@@2d7{{9V;e|k9mWivcx#OJ+le|z#(mVGVXJ@6k?_v5Akg9jEb z@Fd;5!JjW^d1YknXInm9$$etNgijgT+*&xef$2ri^Itaqee3o7uP=?e`OW-{uU2hF zzZ|K7Za&_V<3-axm$nS*6FmRq`-aV1Gc%zxw0ui<5Q3AAL9H=HZYohqis6uNz+9;K9ay^_S(mvaP_A&THP@eeo7e7bGAJ};^^VeKP89Au;Ms3iuNB>Mu%%baUkhFP z_C(KRy|IMbR_1hmz?)yu};lW<_ z_O_YXGwM>MwQH`HY?~zfP~iA#Q`aBa(je%&PPbYgxbWY=YV-EhDZhKAsouDDgKig? z(>__l17#kEmt1+Yz3;NfTu0yBXtw9edFd9-?f9`<(x{LzhdZp!Iks}az8MN_n^I-< z-AavzW_}-bFQ{ynT4xs~@3rz?P_JyAPCxu3B-_gHuhvWtUJML!SY+{ph*asDjBHjS z**6&%-L2BF<)~&EiiPCt)b7iZBYwNNed3)e|IDm=bI|*$XBV#A@xz^R4O@;p7(#qb z@3Hbuxqmu!&)afjvmu8`B;r5)+*7@N%lm5C+Z91XVZp*x;a|1e)_D7uH-AfcuU3<3 z2Y>$~W#X_*?WZkIHMQ;4vlV6xOMcp~=j9>$R_6G%QHJa_y;?^M+B=}xmcLdl_@U;j z*9EtP+&p#SVy@cw%=Q}(Y^}0lx6}79Qs)08v=8s6eY~|%_ ze`%$NlbXf4(HJ!<~vh9&5SzR$&yi`uwxA-?XZ|_WZNL|2p;Bf+2#)Qx;{XcmwlDuQ_aP%F1KlX?r`X^F?(9h ztNps{>#|KYZLhWE>Bh<*(q+BZKYi9(o8N3)_paj4!FQiz_^12DIpOIq-|jmj#oM;$ z?oHV|;B4nfCmw%F{$-ul1saZhOkM@}W^VpZg<6gaTa~)t{#MCXRtspe^qaG}M)g_N zIdw>pq2W~nj%+@;!8anq!ZbziG#g&<^^(r14`%(EjB{ltT z`>Xedl)iBs^edmub(_dvFCzMNli%!|dQ*yt^-ue*P8`~#l=qd5yHDqS{GeRJs#Uf( z8o9crUzr*2*3>d(p1GxE;`iz6U%gcDb-p?~&eaVsm492IIRi^Bd3rL{!7P&^#?M=x zX-~Fv-_JXdq{ZbP1zTQBJ$TFXS8odqi@be4Rm%(Ys#g51bNP_2NpH>%e{g(5wup^i zoehnayf}AiL$;;!x<+hVT&qsD=HW1URRg5spO#tPxqPk6^&>Xse%|Fm%gysnEzQ=h zPKt;>7oS{ScSh*c1!)h?2z@*~$7frQ`JDZ8clyxoN65KcJ-pt?!uR%9nly6F-K*z& zf7-fn=%%Wf&b2#N{i}lhktG_=>t5t}r8%co9L%-Of7#PN(w@)O?NZHMr+;0UHgov= zPTob!bu7JQQ^b_V*Z*qPuT=TH!(OfI^i$t6y}mEe`J0fwql*?Ru(VL4Chz~bnK#$` zJ5STJ{C?4)+O>WTH;YybuN|>FNiP>0-un2pPBs1aW?eZn&+e&Tof-GT zyp?yi&dT%9>#xbU&y0E%{F1JCm-J!B#mPf=;?hkb+Mw@JHXPFK_8700#nI;Fg_4Bq z!QuYL(gkBLh0cB`Fn*zGnG3;NY)nR3zC$^oxJijOLq6Nq&4x!A<9y9WAoCd-L~0#}=t|seGCi-A~+Iu=U~d zcFBwS)?1ggWrv0L3K!gcBxK%*@bzIA%YQ64HlXUgG$~rPSy;Pp$mkJ8*B#HBVcO%G zGnz~-J1S&!)v8~f%$p(as~R(!+)gw0X_;3)&uEhM*q|)!E?=uUqe;WF<;`}ru8u9b zE}&9@sm0cvD^jdhqsAdiQWOgKD%E#&D`(2tpxVyWpLZaq4Pc7B)=G#VzRx}&>W9v%O`mTLgblj7Fmp{3YciOJ&-|f3SXxh>BTT31~ z@W|PNN)e3DaXv%^Y{Du?hnPls8CHuVa)xYx|{(ar-shNi6 zoSg5@&Rn~rN-kbFIa+>hc9b-(ND1D_!$_b)Q=AyHw73`bgf*ebP0UJHFth z%acc^+45ug!L4T3tsb%pWqtZ+=<4^iKYa1+>FOt1jShOhuY02wcU4mj2#C6S;LOFx-JfijQt52e z;&nL(-B`Wg^}x?F1_cc7ckJ)>RK*joX21C{YVoYPcUq*} z_;s$2iSImpdoAaMdJ}Sd+3&!TD$hQDd~93Gs@XqgZN0hr$KJgLmMZ+j=j^YK{QVcy zXjC_{WSIe!Ou^2?NK zYl=riY~FHYaG&3%*1go?%B3{_eN{c*;1=^T?S3|4_sq}VeD>qv!n@KJ?$EMY(t!(( zjx6nctm?F{cmigU!NV=bZ~i8H}d9b&d(z{MMd8;9s%r;N7&$7*#crSEru1{CSE*bo3XZP;?U%jk5X4=%#9k$I{xIOvww*_bR zZSGexqhrpXNRr7aANtw9z8ykZPH+6)S{W+O@Dvk_1n8|yY=jn{_L+KYPaoEs?XqhCnne5 zc7MjeHvxzKSTOyER3T5!PX0CD;(cFNTUGSHx!ND{c{k}Zt=WVVr5}}RHN9M`T5Il9 zJ+Sh1-U>&zZE0O>+RI{nUd^v_Y1NV0v$kxQ{r&0tYrg*WO5&PtE={d}`p~1_wr9S# zsz<4{d%f$wefe~K(gNAG3>kHz%f_kQa$QgKHbbKyb5E+ja!J43ljdcq{^z6`1v1us zUM*MN=T(2oQ-8(2WE1Z6xZbwk?AJ51=c~A^!{`Zj>b2Q6sYcm>C$fy5dMYD1>^QIN zvf4W?*I4rB(X6LVxB4khn$Xpy)70x5I)3${pr7(A$}#rrggrA(Z(h*mM0lGlqi<)v zpXgiEa@d-**Nf- zwc*_5%>GBpz3JVy>h)uTN@R~(_Ac-1F)MF9EA~Fq>HgujN{_fPxMSZn{u8&4Xx6LH zj=NC{PKRwgIky+ZbDGs;#{&kXLRq*SJkAGcI@A!?hX=gs!R(fCW z&&v;Obv$YhCla7`i_=SDW(V8a~Oi_V~_!Usv}%NxqFMQ|Oc-`Kt8v|F+cG6D@8%et5g# z_ZxrCbD-DBS?w!L9eKJ~xd+SF7s&fAOXcfdykC29^PAIS)>K?NDDkoZ!B_6*UG=f0z*+o;z z^3AqNp%d#oDYqxb_W)LKl`8SEJa! zd3S|pXd803=&Oc(?4Eje{Z^eR|% zMEP>*&C}kq<)6i`SF~U8cjxkhv!r--=RnW9<4bMW|6{o$O}-V%;)4;}Zyk;5c%<&u z!VN<=EJ%86Zt)u%rX{=M_Hre0-0ZpRF6Y_HpHlM+@&=Qto8VHD5)P$a$&gvY|g^ zOm#4OWXQ*EL8(Xo?{1!`qG6}5mYdj1`0m<@@{bA@^!qzx{Qe#Jr~mKrr6k@BgIApU zT<}`np>N_2eN$iX!OQ--c+@n0%NP4!b?#7V!Mpo`7av4i`|x|{;ictEpDnb!Te1Ir zD&6Vmqlz7lzA5~?rSA{D7PnnEbe&(NOS_(T-Lf+R{rLB-vAzRSbeQ_XuR%XgotYWs zdvyG_8UGGhJbc80z9XykTJqnLMLnw2ZL{v?g&&)x`+3g#ISt-+TC{jc;d)O$Z~5)* zrGY<$JYJjYPO6X=4NDeHzP(@M^wkZ&_Ib84b%RaGHwOpyJ6^oriJ1Yfn|T#(RkUzG z$!RCA)!X$rApNvjUp|Z|JiN}j@+Y3uJMlVTX8j=xy0pj;nz&|#KG#3}Q-5IM19z)c zuTeGklB5pG$OX=-?~mU*11a;&JQ0m$ykX{dSF2 zKQ2js`0SG2J)^!UH*n|4)(xizzMWOH$&-Go+7zvNxME01!;?ZTmag$t(n?a8XdX}?eUb>c+cP44=R8g^qujo+J%IGm?ZsW&^jeV)3*yZ&uA z&)Vsg?%>mi1LHERnc1jBv%q5KY9AZlW_R@?S%##2*0^fBFB8>IS~>Bsuu*sWJnr({ zi$Z}Px$5YVeYzoV_1H5l{XveIdezdAH++>qy^i+)`% z&ER~k)|{C=YSjG?e@_kXf1`S;qkh?1m)q5_=s@4Yn|J+Cs9=dPlf%=rynTB`@bmk% zwH?1xn&bXs)-`PK9f3S4If7|^3ZBb{<`qRH; ztranP@U?8$=dJ4Rf(!4W&J&dZjqwCd@nKnKSUVH6u=z^%IXVW_DjQpv|vm&eJt;tX~>U{Y* z8@B9xJ^irn-5P7#`7eF6Rmhva%=;VvI}sZ6$H-f~UOpbM^h))=)=v6&^|rF}%3PT{ z>FK!DE#FSV8*2YFq)X#DKKTk|Ti*EPmrtLyd;MjXnj`NV{(RjR%_{cYTIa#0kP`bw z463tf$$uqYepC2|77?>{|8Z%3$1UGAteLb!x=hXH4F9EIwuPagXwCHXI>3=sS?=ft4v9ohtc;)+M zbM<^POZ3k^>+xP8PvnLC7i&)m{d6z%@w!p{hg?kkZ~B5$+ZPTWRdd6M-$MUez5mI> zTBT|q*jB08%!d(w=NaF3SV*SxTYK-#(lxT#`D^EUTz+!qp982{rIs0Q`>!AxI?#MofkjZk?7<4N;wNGm7#x@U$QMeFeTu2?pOWhG+MbX_;Q2vUzDs+ zp|ugOs_dm4$$)f{9<}Cg2 zYH#KvU$)KB&AZDcHVh>qu0IryDwC``#9rIS#~e& zTx9>ZSI+Gl^y>PjL~EiJjY)0#Gier6G*zr{&g5k|PB4G+ArnsQ9qE%`5^_l*-q<9V ze6_jq@$>rHlp2}*Og<*B=s(2J;=vpH3f`kkxx_zaw-y;hkkqUN-kJhi#Zw4t3N-l! zlNoV}LBQ9m3icB;V&d!TJroN9^7Zo`ZpsONh$D*!^2g85$H%KOvit$J7MayyjCM3L zW+wKJtl}wzwQt8I90861M}Q;15#R_oi9m8wA}1}m8ApI4V2uFQ*2P$x@MDeuM}Q;1 z5#R`L1ULdlL%`2y3G;k80vrKF1WC*f`KUN2-4Jlo=T@*!pl&H~$K;dy z?g4>>byH;bOD-wYlO`ji2X;Fl?PBD3;wEXK1M2^iKM1lFA!U({%1To1u z2*i$r4WzsiW66Ug0G9?QO!7DXs~Z7;(Dc5RuPKRCCj?fOst9l_77)Pz1QOWh;>$P! z=xGeA;GGyt9^hI=l>b!s3fcqJ_4R-8&xG%fmDs{3Yj(YF~1CH*PaVviGa6FT{XOp5X*?%Jnj*|aTU_$Y>KO}2_ufc zN&_SF&Y4m})QAjZcXIhXmgeNN^&lVH-X-K3oFgIt_*4BO7A5xo;$*gc3K$_U5CubF z0GF2l2PBAKzE#UO2*hCI4Nq!>$V--@cMOWeS1|d5$U_Q?VZh0R0RwBWHDTfs&F4|Uk zQ%vS5z+9>M0D}{S0E&Lqfa1l;z&&r^#z|@(5hM+J7J1kz4r^!#>#$F5AR!Pa9XWE4 z#1Un#t3Lt)gs@tgeBfA>CK4$E4a+`-{7z9c!KMhoq16V)*LvX&HenD`OS#3!EC8Wh zvJD&Ui>S-O+I3+ZffB@w?1R0Ok+eEDsLEQ^k=i^95JxmJAE*Y_99GqfL4;XJo>w8) zq~uWs4wzIlS}_tTl8QXKTP#EmlmNqTTu4DcmF9>>79T2qG>293!A15FNN-=Hr^HQR z^-Rg5e8YX)mar|u^pNvZU-#TQM}Q;XECRB&Rg0ql#TNi%i?qB+m2*TREnziw z%bHvza3yN1p|saj%pVj3$Rm+eRWNAE&JqM%F^Ie_7{p+t>B~N3-iaY54>~L`IN2cJ z$S-8V#m9*wz!6A@2*~dQa!x|bJ3i!O{$c=EPE@gdmIv@=zZoDm;v9|uN5BmT@co%~ z(3M&Swzc-QH4L0dL3rEB#?~boVn>O=8^8|_CP{U2S=*{5Y&vaWnX@;b0(LlOxN>7p z8n;cc4`fUaTCN%fGEP(oGh9ZCop7wlZ^BnXv2P*-XHe2)1PcZy-4JlW6|LLcxMSxK zz|sHo#u?*CnUNsl*kfRO0?y|M7zF{PmO(vtM8w+LRt(O>AoTBH2q3a*kYH$^5D{q$ zYu|@)B(k=Zx!VjN;D~jyt_lXwWC8?SF^Ie_7{p-2B<*3Du8gSQ@NScN9vBftL*>l> z^_APo9m$HU>6XcIVl9UilT9uU%MNK&4S^(%U6VYxViA7_hlNlqTflMox zgkeT8tb$-Nk^keI+z~U*lXO`?Sh38A!Ns6$ku#b82a1S1VmcRcEP9{>m3~5OylJ3-nA1b_ zPOLCkQu6@@Cr1&0e}C+Hf*qtS|lEfi?)?uw?zz-D>khKiJP$fUou2{NLLHg->uuyDi zFi4~zv1nVZ!df*fGY;^xBp@VsHu{#VDgc9 zCl(m2C;>1yIg9`@1vzZv5(6k6C+84AEHf9ZrvfX6g4_jR6}%dT#k?f^kn6F)yD-Zs z3CkAE-&W}^q&S&t_EA`{gaXs(y6Pk}0n zy$*r_oE%i&Bmh^=5si{F@2Nu+-pd7_q6Y*6^EoNNk+Dezza}`8P6iB?G64dv7({#( zBZh-P%&aIV0m-}*9+nA!!N~>zckPGZqT|F7;0QQ?Kv+r#lwGJg+=aflD`yaJ`gVy` zEH$sM8fL5#MuT<2R1w^h7PAypG|nr~vK`i_&eilp0K3LHG*IRq$2IQatrvOAprv=! zp>5St3=LsPUA1_MxAoFEalkl)TwXOpf;d64rw?wJeRh&j0o0Sum`#f{lz5M>0nOHia1N-`G6LoTpdxJlcpyp$7f z$-!8S90;rC#uzdy&@FWVjw<2A3I!w<)VV>GTnd7~v2tZWqDq>>s(LYqusg{U!%u<1 zw{2V{4>oPGC?+MUWym8Eu3SQr2TG8NNTeWURf;1SnO&$oX%4IAgv;zA(9o_#SAmY9@$Iaj<(>))QZDXb?U@4#GSDin=hZPs}R7Jov*9>aX&6cK)%*#2_b-zxF{kR5R%qUNqxL7$kg#hyfcc;8sjNJ5*#@I|bf!JbL`52T0UP6v*611mLze((Q+wBN>4% ziv&<$hGls%f?TSL*!^ir+V*5H8;Kq;jHGf=RS-Z#aYQ43kg!NwSe6$q*MfkcMTzTh z1ULd50ggaCN5D;eRXj&c>-1rh<0QrARg74Kb#k`cj3eM#2q?A;>QnSGc?qCF^(kbm z+|q~*3?>?ph&<7atYicdRU*GrCV&DnEGQYB9B*60OoH;iud^LHNvf$hBV&hk#;eh7wC^&sYq}IuH-&X+4%`_>AdV5hI>d9WPc6%| z4oP^lOCc_1C+k63E33(bG|!7yRRJm%DA>v-(CRpLhtRdC5>}N`LcR(syul_=5XlFT zge3qQDgg*0@&J;W4oU!*A)G0gJfc-8j>U*|E|GU?O{R1L_^^17L@*#E3me_?L<^1j zEY(E>n+XIa#gXbHVM@$I4;WlX0;!ArJPH9`RcFfK9Y$Fp zYUJ#Ib*++pw!qeLHhO}yQ|we!vqYvalK>ikGHH+gMlc|x+|p284+1@kV=?jz0ErqQ z6QaVBVKiAhOcdv%=EN{E$JYq+q5ou@P##2u)@YtmGoKUs;I^^3lUqztf9{Y zH3s(#HS12rB*2{5p!h~BdPOVyBCsMPH60d#2?3XCKja+Ih#l2uKNi5DwRf-1r#6sDJ=~vC3LBfo6Kh9;w?cBP*zoCcUFDI_#90onsseIu zT3Y$asZa~2S@M=aOIZboNA)RWtlZL2T@L~~ieoVf3)FH85Wt)n7L<%mp3=5rEoGIT zgQR@2v&85nRm>l0=pXswr3f8o$`A;aaX7=32x$N13RgzuzFdreY-wUHa>>XHEIS=< zX#+!e7-nV>h&vl>Lcp^GNn(B*fpFw2VgN8z$ttYq-K>w{nF?!W8~O=B_EcrraAZ&@ zAhD9~XQ(|Z1d&bx1OvUof^UOUBtV~vJi3zy*7OC=SG}VZvl5G|E zf|yk)jssznXbo$g4S#YFfgt8x+Chif)ZG+T+vE~}7E;O~E?y&2XshBPtWvrj&y%l+ z%qb_LKOA8~77J?IB72zwgBF4(YE6}lK%z=yg%Ci2TCvGk@*oO8iBkeZfM3K3u&6vG zjzEG$06|vNTBjvV3`*3JM#l0*T3qW8s6!BXS#&h4HwG8%u%Kmd5+HvHehq;5AW*Wc zit-+bssaW(iX$2!kgy1W0y8Yj3zyqLK;CFTsbWQZj}t5STw=^?;1U=;%n5dMK5&x< z3XJ~4pFI!(Y+j0;nwb3N?UWmq5n?HDc|1gbS2Z3MjZawv0_HQ@wq;=2VbGxZ6f)M( zwt7>YlwM(Bat0>>^0x*X0YjazHv$FQs)!vZEue~z!H(icMj&Ak0tIGRmKQ_HdGBJ# zvh$=l0w$BdLEs2*1l)!|^ta+U6+SjE9P>5bDag4|0zv>mF19U$dVb`R!=OR+DP*jn zZS}J5q;v}llQTF8kiRw9!N5=>Oils>3brSM)PYh0s`wb}D2`+V5;f8u*7;Yg)#7uR zyAgo1loN#jqDC$r2rB;K=)E1486;#8+#%Hosf(SJvH~OC0XqvV*m<+?jg9lTu|;tc zCSFAu%9^d4?v~oa@~W~*s3eDdt{ccrVcn3a3qm6BK{X^=oMKR-`V=zO(6&;Ylx|^R zat0>>^0#hVO~JrXCG3qrZhJCF9VjKBia!MGD2`+V5_Q5R1PYXQGL}4u0#M@QC<2l0 z=?Wvgu%k2O`VJr4bn=u2qwJZV@^`sJmMP z5yZ{_!+=R%f?L{FFCVR8nHeN}1p!iYsBOi>0Fj66WPq)#Twwj01s(!+)|{B86C`Sc ziF*VJw&$QMteO`tvyXtUd-g6~!S<)bB@6_7;9^oEK~3ni46`f+{?$J$h}7gY)P!ak zW@Ry2%fA@1{lNjhTwn_ zP*uPnrZ|!jNEn0=C{W(XSn?nWK#7wr0&aRaT|-!8J5@gRJOt<>!6nQ|KLl#Q9XT!t zQ9%%M%S5U3fnx~xJEm^Y)_AQs=iCwlmQGGiBfz{`6>6ycAD-Hs7OQH4T}v)tBQVN6 zH$&vhJe$~a=Ncjc2oA)~0Fz@scw$fu3<_}32Ec)(Ada-HL11Sc76XGt7!)9^00(x% zg3#b3K>h%b)ct9=TJs>VvaSk@B@dKM*{6u7L(_&753@fbG&GFg@oX8uINCVTy|z1{d&g zG64>(7H)r$<0r0&KB7?=sH&3Iu&PdsBD4ZMx-N*Bc@tRy%_e3;o64HRI!5no?Ch4n zh)tTqvRx&7jie;SGUO2n^-ZNEUz{RZu{%-5Jzw zAiE(#)TW4x<=ZHz1hpkISvX#ZNW`$w-hiP>F=0(60W>XjmWNe!!-a8!0E(M1#*UIQ z&eMm>nG;8VBfvyJzWOmuh=Qy;B|HR%GJybGF-WZ1RweI*hsc8t3k-u3hWvfVLx2Oz z9s~~6NvsnBH7F$^0iI+6YCl84Z~lUD$q0;@B=b%z1hAq6z~JO+1T-#{$klPVKaW8G z?h~BiIRcTk^GD{pr!AL{-GV?Kw?s9+tl^%>#)v8yBTb$qN5I1npgIFfr^1>d_L6m{ z!~}tvOdtSP3_^EO^lQbS$0C_`Vt~Mi5&*;CgduqcNE69~W+ zgQT?Xq-;Lo1bS+uJ*=7+gUB%CNrBBwJUP)2>x95MZrWDcu=cxUW(HhA0|HQDP6kE* z^E1G6tFKFKe3_r9&?T(rqMSfx#%`HW0?E`f)6fZB*43(0SkJ`R4YMNnv#%^i=jAQ# zYwa5964q|C_%47I3oaA{iC8)nI8-HHS$9g*5tzsX0&vA3QYVE(4g#@@!-7kL6Nda9 zYg@rWsVah^0A57EATeLz_NxvanWQZ&(+yWpKmh*zvC9cDJ?avc8PzZf*gcuLDXe>v z)gfwZ-eifzbl72qSa|2AOax%HbOR+8lDQ$OVM1beWh%HUa6F6a98Rp44Riv*O<{G) zMo+hJH&tpG)MLV1*|I)`@DP~E1OjlyAap0?ShuV_EJQRoVaVUQZDqHQPz|w82+X0B zgamk!3Ela{cUng$>3rdZ!uM4jUxRGlkatqd`$h8y^ zU|+&MNYT&%rb2}TmbqmqWvOv_oFSl4MJHmj&o?KWauW#w*HlSz#}DEF0f8L@Q7Wz} zvWBo!R6eka0PI)fEd#sB@zUcfnV4q>mUqj+BmqPo=G~OkMgzmhL>4Oy`O|GHyR1ql zgH_`TYajx@P$>y)^NvTx5kL1Y#`_ zK~L_T@Q_RZ41*Jf{Gl!a91!@u1oD?jVx16ZTB;(zu}q+~Z3SZ{$-EN_0jwwiFpNw} z%bEGthTH%Oe*@QLperqBf(|{Y%O=bnIgJ3^&)h)FZrKfUipL=Zn7j4Tq;zMd@|#FT z2sp5&l51P~5nrX3g8j(Cjm7mZm>d$aUdqX539;E5FjdJaEQ)!dnV87Js=6_T&<0{H zNe6o=BWZnZh$SaABP0cZ8$8XSj6l~eX%1`GgMkEDNv>BRU--6-GH}48ZqbU7P?1#R z(cNMpdY}XteyBkT0;)7eG_v?m`BPMDSQQ^!WFLX__C7@lMT z1Y9wQ{8bDLVldJ~GVjC+gC!*Z1}7Q;fgbWv!azXtm6I^iH9{6-#YDR1slyMx>N;Pe zJCAj<8>|6Ar7l~8nc-BFa0+w^1tm{TIkIo$V}6m!zMM-$1faR#ln@c1IsX6D>DK42HI6i3tH$3}U}+_0|wp!8`GdApjK?7@Rx;0lsc} zKpEm-gX95O8f^-$|1M#q-%i`b$UOq53WA-VYozn0(L1A9 z*mF~kK)gqQ>I^h(M-j={$+k6+>ZAk!PxHI2h$w3d3vP{0Vn>Mq2&gfW0*Hpv$$-I9 zCP2UygUIWGL98*XzuY@9mOKb#U_MLWFDDv-csqKyZqXJqV!+%gX@z8%ttyHi)4VA7?mtS=Z4H0H$B zFo6J8EP*^?`bRBmn6~~=-lWPoaAtuwO{6WXCRYhuN$e;wg~T~6%ffP`f$xqMy;#o=%!cyN8Yo)F8W zw+XXUxZEBB$npIUvggYoK4xpPZzOCVM%4&nCaz^m#dPAhf*C@Zh=f;+Lc|W zsn5ONb@THWPgn^l=Cvqj*}6DX7NOIUBwd(8WM#HV#wvj74ao#29{z-DfQ1(VjdJ>^x9aWLR-zEW9jt3aj+ ziUL@Or6h+K#*_dUoNN(Lx-(nruwLY|)^*{ro5H#<14Fuk3y@PnLjWbTgyLI1N;hdC z)=4lukg%`^;G`D?;|Kx8W>^2%SpN_SC<4_PK>NY`+@T-o4aGi1`ssQ= zL~J?`3}k(i09>k*GIK;DkgAd&j%kV|?_eQ%Krm2RAb@WqLL^xk{7e8w5g^IQ5GH`8 zh$%V5FqWMq2)JSpfAMsXI2goW#3Wxq!8@_SU`d4qhLK57N*)Tuq9B$6mwOlj2rej9 z(&avfRYQU>moH-Ka0Pn^V8Nb5kQQnlVYVh>t8fh(0SPiKtziId>%>UQ zo!-{15NXTdV^<=;yiL0@821%t2sm@C#eQY%>c! zj1>Y@XTa=O#ZaJ#U?6oab^tG-uAI@_W0mTX;N4>a2 zA8EP?yCjq`oxuIf4dkYhhN^}*tDXgwJdAxJx(irOuV7ZWy{$XVyJ)RK+Gn5uLanr$^b|hIH ztzPay(m!_+Js@zQyn;e)FoChCsm(Nk$qXOP(Z0 zARZzhU+c6aA%GIKq>-`BYH2bd(4v-DIY%-AT^0$TzzoasVg$L>)+c5U!b&`+;>kc2 zP%0Ny1pz!$9MOms(k#~&R+B5P#1SwC0{VPH%^29ZAf zfWe&Kf9!y$0T>OHx8t0%IRYF3jsQnMLLfjoa{mFWkepnCfOAkfXJwu+d=SoAibYsw zLvlNgfJY&q*fOZkko3;X+SCK=8B)@%cm};Lm~ZSOnls z=mv6AST|&RiZ}-L{$#*oiF-hJj=R0~QIOzzoasVg$LoZPl_hQ9K!x%SBZ| z07xm0XkKyC`_hD_ZRGFG4Ub=Rbg z8y7+92$nuI!d5~p>#&tNKTOyN$UCbrR>GrL3S4eMfcf))N=RWPW^8!^(*b5`aD`|D z04c?mK^34#A{9V`>Ql&A>Od)h*uY>gLGVOzBqNZhQ6v!xpg?&iW66Uk041Z7ZQIJ; zZ(;(O;>n;~E)i@5NDJ^paV$nPOsrqB$EGliVIc-58UcYGDvW{@cyYjTQ`k7jEN()` zcQbJlmq*bP0j#HU?%QJ#V6VP*r*792+cl8{c5NjMe&A*VbV}Pf}y8aXQV_O<{G)#;tA> zE4{(S#@Ejzz_ejWg!^X@3)bmF?mQvKFm8Y(Gb8w42#Gygp`FP zJVO&A*~{8i46w0?s!A@4wqm)cD#+^+Q)3NxcHXwK>Jl&ILcE-{u_q1pQZ+)%yR@3M z;br1VX?P$K5&)Q;jGvapSJ_PxWj1hY*cVwO&WB~XPWTGmNXHymH(IsO{2r`^4ol{T zSQ>iBaWK2BijEtbGeeJ=d!|pSQxV7<;lU&UG~lKvwMXPw);}V`jA>wCfZ$2F57JUD z0nC|ULCNSO)kOqb6Ckpa0jxw17zX5pj_5Ols)7I_iX#~TmqqNbT22mDl=v>kN(ms2 zj1nyIh=&yCs^zj#G>jE92|t@+g&)fpZgdPn47oF64Pl*eYgij;af6rN$tB~*WG)@NdY!OAk9XaO*cO$gGr zs;m|?GAJV{-_M98mx4$qfy9xF*nu^NWxGo78j%2fD)Q(~9$3>CIA8USR?JE)u9Al- zDLh$upaf-zL<(Y7r8o|RO`~+{-O(p@9DDPw} z3kymHCWW)#lhHt)JUI`a8}{J&!DY&cBVbqr5M*w()@cI58-p6Pq>-_Fk){?w2tqH5 zdWD5R1}6dXr{LEBNB{yQ+p55(R4$;3kBN$SI`}ypR>3=QCkqQPIK>tL99sw6-qR7_ zCHC|R=`p`R$*Jw0Hb9c_19u_dbi1o!Xj^A@<(2^A3QpyKuv2CXBiMg&atQ(|7mrK) z%beJM0T=emoLq(g0#CM`3d?&i1`VonA!9g6FnQ1ds{Qb$Iw`BLW;?J|B@9w_SXCd! z5DJhc1vWcx?2SOlswyy)_m3F3R2AeL_%dTe9vTQoD>B1saK%;P2muZ20Y-5&7lVco z44QWWPa8R#pVNlU1~jBym?5)H@JZWmH`G#h&dTf&wolGdEW$b)(qMMjVEM+sbP-J1 zwq;OH!^h-{L4)dC$XG+$>P2-@j)pa93=5MpI0=xyHQ2$xP$NvLfk45wD%9%^$~DGd zN1YCm5lGZXdssCuT&4#CaQ<-eR0M*YoS5LGU9?&3tYa100LI8YIU-Y}djcnrsIh56 zbcKL-II(~ivuyoLdi-uH?1)s!PyDx*s#;ta-I0hmXy44R@E1M0_^+G7x;Trta7XTH z2;u-@X~+YUhe?1Z24Rp>eF_;Xw=_}%13`SOJ1KKm$taSDS%g7>9hT+B0CE9xq`=ma zGy)~4lT;OQOchWPP*oTLb`(c40tt%{C@{mayl}Y^0+DbM#67twY}_ZROGJU`m94>a zVWXhwJaK(kn^`32AxAGkmsce@FSnE)`65_VIq8Iepp##wTRpmEW>CW@=yJ_qCVaYt zWk!vs3JB(H+cK!7kg;-0BQ-GQvQV9rby&>YHfawFlQTF8kUs@B6A*7x z^!I;=RT*WmpbDs5V+^X)=^z(o z^^%wMhXf4VuO>82*47hMuM79T^0*dlR*CCln@c%_h}ug>MQ8z z+`j7o`ywu}MF0Uq>M@74Q(Sv*tJu*4Km(m{Z=#SPUx(K#7yX2#~VC@1QtC zaQ-+=(s!Ub?ZLo?!{K65Bf%n!O^M_&uv$ov32>F#lH8B21|LTw0QWR?!s=ozQiW53 z85hYNV>yd+oJBwoQYw_iS#R3ylrC58)?AY#knj*--V9B?U(2$F-X>Or1g1G#gXzLB z3J5Z#S_WoW{Y;fHSiyEhfCF+zhQAuxR{I|k##%N%m_(t%!dM;_xCSQy@+WnP>HdJh zqROB^oeX3Q=K~@SS^(wB2V4k(;lTQIh%o{QgAf7*W>|(7gNjqL^A^}D4r`E)J}@W} zF%-DiQxMQ{>-Chm#_M??xLL+MA?A`E_j58>L=4NDGvqHC$xj_Y6xsdjY*4!njU|vn zZj(P#!A3u3D7HZ;h9w>e;sO7w2Lc#=9@P!R{<&B;Oe)@nL@;xzWx&8;5C%Ebr;xF{ ze}v1L>U!u-iV6!JS%g7>9hT+B0CE9xq~O=GbwOZdTNSE+$~DGdXQ>PS0UQ7$&dB+d z)3GTcT3uXrX)YhR2mxuc>LPzy-S7rhD^gDBA(!+KNfcuvMXs5tBC>D9C3X>zt%%IB z<}o3K?GXt;Ew+{wl>BQQBC!PVHwiEgD^83N^WL9_Sjtyy!jy%D7zQU9#B4m8C?ko$ zQUlB6WnGUO2n(5KRpH%<|)*qtb2czr%=w3Xz6 z5?lqL7{shfaU>&9Qzh+TRo!r*9R!fGA3C(-Pb1q+VKvS!0jMdhf`a~eDSetW4#Mi6 zm>v-jI29K+3N>9s6Tb?vuJ{L_0@Um)xNlzt#?Ocy4$-~My?3o!uL$=mwN}F1XVXY&C;7KM3 z0InE>UKXMB2*hBdiEO>Y7=a}v0EWQ{L;lu#5CkP)l2|7Mnt&-G0iI+6Y8yqvZygqF z*(CE$Oaw5a1i;`FhX`1%Yga}TT=ZZ)a|$81g~zq)e9eSy^c2 zVig$|u@GS6%krQo7Zkai=}8DUbGgF&1y4#MLC=Ni476UUzMx2VE|xp2Okl307{pg> zY0RG;jeXk+l|k@Cg$0Je2}AxO*yip}6Ch%p5NHFYgamk!3FzWe@LPq&!@-zIGVjDf z04quW3{D<_0QQJk@JYBB0l3^a#Zv_A77xYKg!r^M0v?Tk;QZ_~d;r3(^H_wn>yry~ zM!>R});WrC+*6%_CUD`Bll3WthX6F0Kme{7#K)?ovH3s~sHu|nu&Qp1A;gfTHQ31R zu0{j|zIa`%69T5?WDww3Ca~YO`dNnsTXoVNR^1Pmx*P#0B&URi0JfhH^B!T}luKNK zfF2d_Grz#BIz2tYss`l3xIsXOn=rEdr}Py1fg3Ry~1kFJ>e>1NR5JDQ?5!Ug8-H?0oAtp*nhFYg<&Vqt3}Q|EAkw!{rY!n!H48Yw?@dl6CMI9Dl9MzP8jm1z-9vCYZ4Ss1_3N(X9?A|((qGZF{^p;HKmh# zClTdgVIG_uMS%4ppQHXX>L-rAE;VX%H5&ww&6p1p1OjooFNxD&38FmCC4o$=uM)=2 zIaaqq%w4W7Vbv#b0s**U5W171+E!*+eTXNScj6n72Q2`Gk%_dF81jd@2yg)KGYPO2>x4kt zQV{`;Wdf>gO(Ls{WCX^lOXi(e2w+7CfMH~EZX4?7M_w0j3qkQXIfpjC<>^ym4=@Ri@};fvbGhbA%GDz zA7F5@LEvkfu?G#|nxqI$3{IL5kYQ(Qr3;q9woznbB;#;~b_C4dNT{kVs7jp`!q1th z67zIZwdO)50%6Qo4(zxHR^(&gnxEi+lP(A#*nOyyE;nKGW=btA<}XI5!NZaXECrX# z`Q6p!kkXxj8DK3agAU!fDD{tUSqm^_vHhSsDNEZbM0Y)|3kwkqP8jm1;MW9*SSJM9 zmVyXyEECYhmz@rh5g0Q`=ABpwU_}XlVPsNRt&j=12N5^Df$N@R^^K~}-p9E)Bj?Px zl{N$doo!yUxwoqYw^2?mLqGse)=QJZotX|ckqi;AsD+Y@En6TPfz`qtY{Fn?#fdR; zVOWS^aFRjH#v|BEUO=$2J~!x+OF^jplOQt!hF#Je)~*Kw39^!0uR^}?B_CzrfJxn= z6(gaHsmPn+Gc_+q_2Z0RCrxyI> zWP^abiLgDP(`AXPYVn>M~O#-%g+r&sYK(Q*K2a^Eia3hdcLBQ6ZwbN_)>WNJ(AhtCFGs+s zGabwQXyqWSiVAvIfyY@100AKZM~SyE9g->&UToebs=f)iuWgGnF*v0Nqu12Kr7tS%VDB&?s7lgSH|1hBB23~-3y zi4p*V6ODlRf~8qDqpW)Tz>p6VN|oTBJ#%sv0n9XXMeoTzd1TXYMOc~BgwDVcYGEFm zY7LK_ndeU|h&jdKObuNWhGXV^T9>%oDM!E)5Wr4NLU788VZ>f*HHS6)N1G7An(7SL z;1Y{K@&H9d9?-c2ia3f*hbT~JQb84yhb*iBOjWWCD{aSZ!}41-!4Y;!6ximLPz_-C z0ac}w0fUiDfPgCop-u;zu!%uYg#?q<&nBxlF|Y_~pho(9izxFN($cTy|42750{EpmDKkfGI+?dvKccBEECez#A(Fhyy)kR%7(7hwNk^Rv0X00t8$!i2QWGAO<5XuOpbhE=mAp@eCq|7{*jsU>KPM#pJbIt%`=6 z=@|$p?tPG3=7!7{pj$#4BdGa~8272VDQw&)8aEL!gt1a3NQ^$!F3P>!dBFXlw7NrouyR`mcb<9X7*Jhxk#ZBDn zFoQ*s)ls%|f|ck2!NC3sib1SrSnzLPg4%F01OkzU$dV`NISBA|RC6t0dBvbDt#vR^ zC#*-?sva_0D!?CV0ViW=;-UbQj82MM9~LNHiYJ5e9!Ufnfl~pVD2`|Z0w!q-%XGsP zI0762j({-{(DVTaV?vWKvqrGsa&id*@$1zH!6i8xzzMVlP7u!Ngb_E1O9T{K2G#`N zn>lRy6f&0ej|jBH1_r|b!4t)ij6lL70TihAh>Rrjh2kK z4naV}?nnVA*rGT|vH7a3ScG+Qw%m*(;8_SLwhZc1B>jm18dRS`#!}Nk39Q?XNCbA) z93&ZmM3pp$RrO*Jp{#9X<{d^f6i){0a@nb|0Nxd=4gysQBT{W)Ro!qQM}Q-s2?2ji zTK1Iuo!jBrbI17<0&pjE1Cg-2AJY|g4zI58y2rVXdCwE)vH2ZXE96cgg-s@;HGm8C zNLWtV5Fj0iV#~m?MgnM1eF_;%9VjKBx*h~}6h|@&01<-(kW=2tSn?nWK*{K2X_iZ#QY4WIph0;jV`<`EBoUa8KpsXXsV*YeOh7QfP4Q$9Jz#KQ1-4c31rSknu^80= zfhu7l)fQIOjX{Mz=8H)!`5^aP4nb;j;xZNja4j(?c5j;6aSoR)C*2Uhx_MT2%*(2d zPwb?KX%4G#ED6c%VJTK87lc(0z@@Q8KvqN)TaVmc9RWdIOr*)(bKQ8uHdqVsySYNk zAh3`_M8T{?^(lm@+|pPY7!xKMCIn9uM>Glmi5ejy6hMI$7J-tXi68`59s&?Vu;Eyh z1oFGB+WJQU{8(2ZSjKT6Eb*l+EJQUjVP}&^P^&m6w*-yzEcFTqm#rGPVr7+A2&;tz z8TnEJ{?$J$knGFZ8xz64u|^59))UM%W`-p;*xHOACkzC5QT44O^TN_MJooMd0;vVI z(Ga$ZqZ(RHmBgBy`kD9Mnp6|05?Ry$P7LW;`bR$j2CC#!!z6$LEQwNkM2^J{lop76 z6DAsTCuQbHM(mn-%fo`TTx)}9Y$l7I=5+@!il@I$p&WP3800*Qs>0j#aT zjtL@w2m;8%vM*QUCkqSil*59AQ@lVxh!?Z;%t;FFxrMnYtY_B1Ewh6AE2nsdfR@*g z?asP+TNI1o*+JV2;Q0!W!*bE^6x)kQJ_E{j-U5u93}WN5-l*+(id_28w`!6}>@?&;5TNXA*0 zNYPNsWus^qJEm-J96NX1nnoaA-@^nt7Xy!^#SJhZmkhgYC9kH7+aqsrp@d5ulZPl! zUO|&6ycBFPLhx6Wk`ZILqy^A|c$S%B7*hgZ7@H8JPgRzEwPsK#An_vK&xqJdL8NL( z9Lk6|Q6Y_C4ZpMkgpmM!D)Q(~9$5b35#id>)g;l1S&85(2$RB-l?O^thDf9!W>t#g zKv-{WVZ|4+%yZ&Tnh^+sGa>HDO=06cQBR7x?tL>_vC%?W-vMK7-u*jhkApk#Y8D3^;WJ_b7rCyZ=;%rzDeaJ3L3N|*{u zT*$&|@x*m>N5H(BbxjmZ${gStR|fP_(ttVhl}39%b*1j3`$T50S+uU#-?pW;D<>VG}vL8 zeiFWd04YLUr0x$GEJC0FCj)%Q43h_txi}#spvR|F6Ea>3xnTvKQ>*|@R&bl;#6mz|Dd;PQHC7M8dN_?oKAr1t zG^~R5Fo?}pWyK<_z3Fg%yh0##yqc{ZlSSZE>3=B2Gq#6hmY^wryNx1-uZ{80<5KJEQ=^z?0*8@#qz5QNCpI#7$Q$j(& z$Mj|NX_HVAiWhkV$I&d|#=yxj1dwCu8nxk$u1ZK%Dhj>er9|cpUDW1(DFihAI~~e` zJz&<={Ms+=2h+pok*{wRB{t`&BcRi@z$$C>$N1@~3G^^}q|^MALU|_7IRIGr>y)eZ zu(5f~L#N5dsavuPAqWVFL5VSWXd(<+PPDC5Cq;*i1eXlLAZLeVcrk)lfF!NkR!vDG z(6T)l#151eP*n&6JBlM2frLc}6d#ZARuU-1=g&YFco1J>Nsh=W*qmd zYN0hO3O4#jnMkLB07^hid)rE0IQ&0*?*lAJa@GfC_3N4GnH_d|c4wuLSW7vxqt!$F zdr0DLamXlVG)RLG))OqS#|hD^5JJ8q@SMTn#c<-A(MXK23?o8!x7ZiQldOZWPUaS@ z^9lJlS_{6D357yONLb;JiRTE85Cq39*k^<+iTnMsDyu6it17emb-(WSUVk%HmHGYu zzWh^_Rn_%`QrPm+WX{J#nA;$UDRBLc(jn zvX^*mEM)aCrBI=y{eVtjNUe8oU%&4K1J^xmxu1Z9n_8}Meeki%Q2px(TsVUi6tYeaFT^)OCI z$kGXTM@h&4vm?zyF>5;wr9U@FJj#F!X~xe4mB}We3uG{yv{RW=NH`6nYA-31O(SA6 z?sW$z)Me4qWT1>;$+|yb2m_kOFnpew+)BkFh-?SV&+AXIY#kc1+tVNC z(O^L$KuWZ$Knoe|469iv=49vigfM8Ittoft0h!he(o1$q)P_ve&cJ$Ob4`*>BSJnl zpk~1{Yca&OgE6GFnca2-tG8Xt5v8o|uk%e}i!5bPo#@-L*d%T^fhDeFYL4U+X2w}y z4SoiC@uVat*w@@y8+THtBi@>h{V;R$gqH?pXm}Qz1==sN&*`YnoFU4l)Qe|v?Xb&i zuXcd(dtgdkTI~>ykas3E*7yma7iUQ|c3}BzIyteGzbj1m!S6L;yxuwmb!Jnr`2=s( z<1cAw)(J_<3)@yZFLO0Ew~TQbO0)g=#R0_+HE2f1JoxD+wgU`l)mCBM(-0)v8WHk& z-Juk^LQ(__=`pjGiHt`Un4tie^@9xTC`TKbW~(Qcl#+wqo0gQeRI`%GI6pp48fJd# z)|!xk^5o{uS<$Rq0!Mi21kz~`(wokp=O@=Xh1q^7)HHK=u3Um}%q&mbu)YRn(`#9G zf!Us#$Gis2FM+^qC{bzIhHIn7ww?%4K|3UyZC7!0C?^o=BiP1l-+NQymV0u>>tGgs zO6|d1s?^{Sc1d(bEUSkpg~-+k@|CG(JJqa-Eg3M&c|Nivvn@$Dgy^QTpk7X#OESBh zU{kHKeGHj{b|t^Xwuk9A}qn z;j*=hJEuujoD^}61Qe}oSPlr9SZAbT*-Ex31ll2CDCH6C376QT3&POLOAUOV(llM> z2KjOAWJqC70h+sj;9W-f>93eAl~6|;JU7%1R!#P*S)=YFY7Ny46m3*R9kB9x3T|jH z_WOek=N>A~3I{ukxq83Q^U`>(uCA5MHv?wA>Qc2Onl(RQ&$Ovp4(2 zW}!3AeuXi+9W$%wN?%re%dAlyCb{a$z^znb@kzK&frz0P8{AvcXkwj_>Po8qke7Th z2(&}MPzVJ35~-lf?Wlt=0p9vCDI>0(47r(XfaWeBcx~L#>J*HCgxVpYDOELV5k#(G z=^4-o9we?uQ&c5bTeGZG2Da659`dhL5>~{SJ2U$+u1cC}<*1hBkOr;~Xe6k(jzWSi*v@f0MQI)kRFfY7{b-63z)EbHBnY&N6bH5{@GXm$0FMYQVS zNOUGx^#~5Je{*e{LfK9{U+HGocO&B2+$Rp6g69KzeyZl<(817R7UE{~VmR5XQ8yRj z;ek<_oGCA&#QW`@OtcaoVX0>2$p0~BjcoIbHQoAAsndz;M{faRn>B2l0X;WOQAMd&O;JT_hLy>H(I@M^>{Jky zCg(YO4O35_FyoeAucUmLY@>Q>58Bg~eG%COkagr%C5ewNC*pYHFYEv`Lyp}{m5 zYV<+(ArCb{NE0>A}kD#f`8S$4i3o>gW!uGtSLL_4CRE^L|+(A*s5!oEd#NykBJ>+v+3@T;+qX!Ao&*r zx>(k<_6*E6g4^{Kl)aC%zP$$>HI(0~PGDQJ_!;QU`;ufnb8G39v|n%gfvjsC8ITUz zJW2ayXP!Q7}J73B4|!l!IB}`tV*Brza(5d(6PyE zU%=RNcCnI@(Cl}_a!&Pi?IYlb_X!q5>=6ZIS{Qnf?7WSWauIX}NNGw21a=)Eg+~1J zduD~?2-rV%&hBBzh&HA*&9Y~fh&9wQaJ5!np(+Qf2ezwt7+XpB)3c$_A;$!{nGY3b_PVlyFqVJHS{E`FO#J57x>?g2u#rm|u?t7e#q3;^EIicxFyO5WI1ZD}ijL9du^8Tl?gj)+ ztTW=Tq^c_wi9KJSnov7%ra~A9D{D}~9I#z93rIg(AP%mb3|ZNf0L@)MU@s9;Y~vTL zZc2rB&H3L&9*5xO85s6>@EZ5M?M~5 zAqqd7GmhDe_hP$VNH`51L3mHFG(uJ%WFo{xIqw{5u=&eLNbesq8^IF{6<6MYN`1BO#M`z8JeTEC{)ZUp*q{n z5?rM5{C%V$DVwXFp{5{Y)tz4Zx6Z)f&VWt~&m{64X14mdE-BW{;JyRJf|GQ(NDVPg zD8a5yTrF=W=sN5yJTq03ea>Eo#G-EM3__uRV2E`_!gdjolT12B%|fL!2B@nTO1Cs%X zdaB7`xLd>AGr*y=hQpQteb@pzXz{GC84p^ECHXtkY$-{q8=1z9*fgXp>$7cX?yb2N zodI-!BM(h0mF}b%kdxMr-s3){A-1)LWox=w7&`LcR+Pd_ppD~CTQ_q?+fHrk$H~Id zP_Hu*b_XGuT`LMOd)n)R#rF|~k|p8BncQ?#-BDwh>3q8~Ng8jgm#zEW7<>QqRn+DF z!S0sP_!h>HG-Xb0eH@cWGP}}`AYu_7M!Jaym9Wa@Q+oRYpSZR)ho6DP%yQAkF6m}< zLi_t69j;n>rYdpkN_iiPFdJ=o>&_8xQ_NOKL%A|w6Q|{^U^F;RRxT(z4IV*2m>Ai^ zvK4CVU+y$i$fk3r(Yo2>AgJiDf=iGvz@)1Z$EaCwIYm)e4|<6q)*0E$vXy$EeWY3F zG;2A?wT3A&z~3J)0hVNT36Ud1J@F6YlcrvLTHlxymv*UB7bYdCBf zFv|&OXE|n%jMeyHZJOltp7S$`X@FUGZ5Cr))9V>9bwpZyMzJ*IYp%U#AbK-M?4oAx zhNjdYQ}Q2e_WF{cA8l67gmYiE#v5U%t|pp|>pI*V2I1A0tvk_>*`(+UBTY`6iS1{% zP!!hdy+j#eossiFcMy^(6ouPbJhQfqAtjXb%o1^wLC+MtF=l%v!95Gbujck_;;<^X z8l8kW^!5lkl#iOdI545q8k3d*BRa-7G(Lx`CQYTjsI6VIdugE5P^MO2SCccK6FBEE zTobI+SqAQ8S|_aQ2RZ|@&ISiM=p*2KTDvakLB#6h-@p}bWx&gp-;Vm1^%B>QT;K}T zl}17gFK%hpM6YsX+b*dMnB9U&C{${21RjqvXaw(8l)^-m>1U-2N=BDcFbf3&f+5x! z3A=-kOd;DkJa$1{dvh=8(r|QX(P6WY)Ubsj5VC}rh>D|2j;$vl!iLttmgk zS&98p4A?827T=Qocy^2I8XeC-^xND^YJeq~jVJ6taEQGu-FH{J2J*f;bMOt; zmkenU*@Y%q%asetZmnag1YxB#(!3ynw#}r!#GYoB7LPi(b`d7Rra$F^sB9X)-zOC<^PIKSUg5&?^-DTzuJHp@5d>O5QFhDOMp&&Z$C5UrAP;Webc_nj{F> zBg<_7vwbr9=V#P4B|HKVM;pRR)9A2~W6hbY_6xk2Sag1Nv*i8!h!&%OF0^E;a8*`)pN(~<2UQkLSmes?QLRLlBF4=6Ck~OV01CO>+ z7D`x>*@ecm^2(!Ujp%A1_r+(k!J`50%%%IzLg{M@PGdZs+5mS@lq zlR=!?qEItC`IVJ(+)%~3ozt=zP4_A?tQ)u1DyCLnSC2{teez@{UA;86N^^6$WE*B$bLU|w z#MgS0UhPdYOB-uAfEnNbUBfy9>kKS114%DH?7;!O2ie0dYIx?`e=u`2dDPdeNmoa6 z%=cQ=zGPt5E05(2=){zmM7~4K*0^MdMy$!n<#b@?$iU<(%{n%F47oF-G$Y*f<`U?|(g zr9T?;4mcV+gVP{X(cH$GB(GhZ5DA^I18SPtPBm-d!OMU?crG6XkIx^5AP#8^L;gH! zo?zE4QH5z-Q8jCZRm;EuTV=0W`Xc`H?UhS}Y5wHd*4Xp+WA!!8lqp$GTuU;$oM886 z6^o0;B|}uJCWp69LA`lN;}$SN5yPE_DTPRhfhI%LY)s2hpijSSWmXzHLvwR^t)MCF zVVn>NQAjfzRkLPj$iVdm+&-X|WOg4STMZNQx*o0R;TZ0Mf}I0)4Xc|0skz44I00g< zuOvaqnx!EF(abi;L{afO91AfU_3Owm)F#ip$DO7yjcf1T98nFjh`4oBX_8qE=ymfW z35`pJ&K!?H)#PwFG^_y16{tsW3m74b;m*SpLb63l7mPvFWbm}3UNy@hvX3X#$U2J0eC zhN#&tEk}hm{jyafHTBM5v(?;O)m9i$)^8UlWHof{G>BlYN%$kHvuW;@ zVh35nqB1a0*#Z)5V(t#K9p*8%HQml>VH!9sc7y+ACC-df!ksF=ww)xZ#pJVhRdQW! z%m7k3!?@GY7HBlKSENo;9WPtgTv&YjPc08NpSC1((k*SpN}IA1)cdyTg{Q6j+y#B8hv5`0b{4zn)mTDa!p`9)g_sokMj%CBGen6 z5u?^HRckWkr%uUFl&E)JE#>E9Lpe?o6|*MSMoK4agzscn{=jUfmNjvmfg_axy_}Ql zlFS~|rj>*0c(dQj>2uA#EDLL3c<7z$Qalkdd8ahgD`!iUpDmeSUeg$C_JpbeOesW` z2%Hi!#LQ|k9d*!5KsDLhDH$>p6x^CoZYr^|4Mbg(f$rV*4g=K_ocPN_ifUtqICEqMR}aMj zQl$o`uZBrcycixmqgtLfXM#E064Fx`&Muqbu;2F&gY1e7b-y?yX}e$s!`>}5IY6q^ zQ0ntBNs3pCiC0+50<$c`2gmNrqwe5@coaRwoM;$#eaR5bg^mLENDCABEC^lATrQLhH{ zdbVATRg*o6xh7~0LnhZM?=2*}25fF)A!}o+8&bL;Fe?RjV75!kk)X|Gg=glHs=2r_ z7f;qorpP9jSHy#$-hCZ!o2!I}ttL1dWSOj6BBdH%2%t@HP@P2-X}dz(9J z{L~rHO%l;&t)2y=%uN<%!&S}Brr{_{#TzQlv5@>N$?QU6S-4U|3(Ob?gJ82O9v-_u zNc7ezsFxZ%g17we9{_r0cc?M~Lb|6N_0TN2_8$^HuDX9tX_~4oTl?E_<9$OOuT@a@ z8Zb;YjEGRqYnM`plo%K?RLt6XslgG*w1#OjFwVwov;BrK`(7QIaCM$_Jt+fvPxtzh zOf)gU>>3uEf%y*-&ZKLYUj~xC6ycdOUTtm_o*6q~V4^Hm-tTke`63rSZLhF8?f69F zS69BKXZ28K6`a3ZV>>q@)hM@~Wo0|h-<=iEXbK-b&g;^tpgC<*shEYiFsln$)272R zlp}T^KX0$|?0(qFI)NdR>kPLGiBf|j2nk**gqTu@yq!=t zD+PC{p)jj0p)~trpp)e-U$|$`yYIic#=pxUB}<{B{h&cAb-6qNC)j*Kh^c$lAk@x6 z{hgpeZSsslnS2kkF%1|7xBrlAR{Oratf=YEkTU!l33}o(B5W0V|DB`=! z{&SEZ;_pBWh2cD!$21(g7lnvS&U&Et$A#VZ07GQ%xM0r}h_5di`|d6dhC>4NW$QVY zhSvDBQunkH(+E1!7EM}UHVQ(H$(&iWBFd1^ABfsgntW-{o8)7~UMipVfl1yw{TFi_dO0aR#_XeF4|1A;zyTNhzK9oqt__QlCrd?NKe8)1NG! z>1XQt?_E{L?!VH{2kZU)UcWjIcXkrGBvU3Q8phlhq*+srHoNG#blqJb>Spc=7Jt-4 zP(96*Xl%%x1*gFdC=wJ2>c!7j>Zx}_ z_Tuq@y7encz4RGqa(6B(}h_QmEZ|s_g`dHh5;Nkp9a`kU7fY z+joK>EMv7ZklooBd4y_64RjfvXM}Cs6`SW7AGT{s2OYy}V{$~H>VQo|-pk?5Pex_y z41LvK%6kCWmAWU5b>m$G4wUHO_~lxu5591qHjiy8^DF8b8U-7Xh97o0NMO621Rmdt zMWF+cc_8k@lxTMOI>danFQE3c5+^vgZwJL<#5rWw5yA%$#Qhb&()W1Cq82b%dr`Zl zbg?W*S;`BV^O_G^xWT`}^Y3XHmUG|4)@h&n5Y+OXzM-dGjfs(_fwSn0NU8z9qw;)Z zu;EG=d-VRL@+(1?Y_(b?Qg+=W02%(r7v2 zaO}-4t{XY0GCpBU@w3g~vSmcN%99lZOAQ`@L&T6wBkr1B8&;}jg+iS$G`WACc1Sdt z6^={@D0RGtW$V^m#CGxcP~CbjD!&Od#~nShPT|-NVl1N}hjW-^r@^pd)dZL(&vLV+ zP&*6NM!`!ibve-g5Y6a0YpTRj3MLeI$zwE|mcP5F6TMtzYMOy8JaF%w(c70yFll*1O?fFpwAMPnPB!p_!s zRO)hm=-N~K0734qzZ#(XldjwnOmz-#VVNF1o?awLLR&69P<>$uK_kAhrA%#TljTsr zhM6IZGA&ceo_G8~*Ey#blwkHjgMau$2H|H!l(waOf5nzNcGagn9c)TH)=8c8q;9sk zQB7S_9)BG>sq3IkCbYzoN#v`t?aA!G*vS|A*v#7uv1sS2i9MC2TY4c=T!YENjcc)1 zY!F=p>RO`GwDeTCo`=zSii7eU2A8fkl=__tv2vD8w7=qP#W~eH4L{kkj8(9yTiiuJ zNbh6QEEJ=+)Zh^~UmJ4Kh-LLKr4Xqx5Hfh$Q7<)A2{@PLmqW*UzoE>Xn~V+X*Z!OP zYU{s+Cv=%Cuf`UG^MS*Za2gCNk=Ux9S+k{3qx0z#cB5x>NdI6ZNNY_K9RWi+V=3K2 zIj|u>`mGRRN+C_=2pH0n&Gt0eZ=8W=Z&b?MedR#?CqItY5P=j@m~7iRJa$3QDqt20 zcmxl#6|}l%R#Up0g&a}v9AGLP$VOwSCDU0@hg;4pBSQWZjTY?_(MguF#U(7jNhF9w^U z`sULvsa;@~Y=N#q;uv&#HnzG{SsK>efioZ&5(9!J)){x9O)!RJ3gtT%+F*LKJgaUB zJ;R{v!HYXtuP3KXnd01!HiH%~$CPHlgqf#a0qROowNlwje62m%2M)tLA_; z*D29SG{-v0iy|(`?4yf{XU}>~qXylGofSD>ZH->!vx`rPn7smSvd9oSd#d3HdMapq zo7A2)rc$%t%tlG=1Q7M$w5Ck$^nyBbC)iXUn`VJ6;hnfcOesYEPMF24z!`lS&Wzwp ze*?~($C-9K@vPG#iQuhY8hP!roe}%!YW2wN+obP)Yi;W*y%hp>w<{< z_jsef>QLI*#tV{e0W^03!Ec4;2q0uA9ECtTBs8T0f;BrvU^cch_#xGW=W4aNdjXd~ zFY1^5gR&7A`W;CZ>_H@@P9aA%rT(z-5~9i5=sXEg2z5D;PlzpPS3gY0QPJV#mr%HS zA4cjRQ^$DwaDgBT)P00ivj;o4VheZkWh={i6T1x#kHZb;X9;gKix6bSGs;aTRz7)i zmsrB#iMtAz7&TSd9Sie>@jR97L08_ZPur9G9@MP3s8Od+Wtp*;h1c@yEezKwoR*Mx zD?}}*uQVAtY`9scPV`^T z@$MCaB`XTwtJJYazj3jbOfa*2m}S|j2l>fLDPaJ-mIoN_0)i%%C-C(A`91a2@4)51IDz;3VO3*G0!=O#f?)+vY5>8W$9U#$ ziH$u@uz5l@A%@uIoRJa(O$OgHAxg;)-B+__(XpMUs%RBWp@86p)Di)O50z+^)@3Ds`ut3N=I7DuS8--_nWStKybyH3?lcJNY|&Duu(IbirjjT$ zdS<0QVNW+v1*^aiKO-{C8t~V0O5@`Ai~lJeI`h2cOhym&1w*vJpq+0y;^94>&M##S zZ-6#3&HZJ&?<+B=>=jmh^qOe{)YA$~__rp-sY`lliHg9EYlS{OUazG>i4`%p(?F-O z?Gsn9Y;_6PC;Rg^Q;2v_ryBszMNdZSlDu!JX*OKUz{Z>vX4(%sAcdaE?Ofs$?ScoKVsih_U`qM2x^v%c45!`GNW_baZg{}M zo6`Lv8&gUpu?zcUBj`r#Oi24tmr$}_T=5&sF0F2aVh0oxE?c9wau$&kiKj19J8`Cf zLlkab_e_6;pWyIx$i~$?7JFOl*d@)>lsD~4I!Nt8QA($0r=>1$gRdhOU+?sCHuHVq z67@lKHt&dMtrp%&oODcMaffkNZ^qbYT~H=sC%l==8m)@gT@0I&S6vvU+8)w&{i&X~ zr|FyzSH0Z|t$7}UWvjccZM7Y1_Ey0z!cfJ2tOc_zfz4VPg|v?yuG@Rw6vR3-JS)@)(%Y*61xOvO(6<-BN*-if+m(D#fD8*jjhBORv<)< zC?q}Pojh%o$MJK`2gi8fW%sA>khRKNQ8)bVuw0+7O_{Gcr_H!R%TZeJ?dT!jw?couy@^Tb zE!fzaC@cILCm9AgXL>(K*1T87!n0;I;j*=vZ{gTZFB7casq$!6Y*TzvJ&D08J%c)? z-Yr!FE_V`Ouihn?m@CU^(bMY7mw(+-Q!DLOM{4<}I%BN133$FDxb|RsiW_q~xC=fI zVBYT7P;P@<2#oE#x=r@5;mra9+L~hSM0@59nm3Bp@aI_Z2M9)2QMsJxpw0)q#uVNK zHz$3ji)~+M8dkz(Ys|Fk|1rKf)4R;#1X_Y%k*ak56@7>NY0SgJ;k!#i!O(_aP$5(zQR?IWv5xU#^D?C=?Gj>GY04Qz;-Jam>B_6&Z(HNK2I{!4s%Vwa zM%CZ3ZTu?6&y3K!F5p*1y$D*&it0UuOCvmP6sn`y(bZ$8)cwfxwX2yi#C`#7d8s0Zk7J*FL0^quo}h0#foC}^tLxd6`Cw}$0X`{!v0Cl(cr8t7$Qm)& zqr^!v4OV6anC5QIb)cM1Ym3wB)~#b|WPfmet;mID8*@HZ-;U9$(X3}z_Q4Fj+$)?G zUxUG?sJfvh(9PUIEyrG(!Za?}8y>@1j`MGIv~uRnVF!QPh*TwcJ+dFDf$a?~Y*tCt zPzzrQTBk5gcp`n=OgK^Mios)Cg-T;S(SrBAhRs+BWkhe?HGyHJ0PPCx#~baWg3{B1XmL} zGZrjc?F7{oNMD++?r}9jA=+Z+6>N66Xcnl`rn!%T##UksD-b%_=_Hx(VP$W1>gGm6 zqG$Hpe=$%yTW8BJF^|Vsk*RkK4OX7;>>s}te-iT7#4cN-7ZVDFPY>ybypOjQmLOCbEreB8l*8I!2{ej)Z>bqBfikTag%1~sm9h9wRN+?0#qZkM3&}Dqs9Y-?Ci;NiX)*7 zXbJ6rm-zFmsM4}QNn>ixuS`+9vYodsFf#!RtTF`4R@Y08K-ao@DO`lD8EN2JzoZQ+kH5_8WvNd`FGb*!pTPZDcwHQT)Wp-kZ zpowLZ*))??V=FO+6(_`UJD_D8qmT=_MmQL3KH=Qx>gc^{vTRl3{uQOZZFo(c|NOC` zkpEM^F??Kq0B*%!-x-WFCBWNb2!<6v5J`x^d{FZ&5Hf8ncSwjqe!&ONY;uibhnw<4 zFRr=hh@D5O;?Euoxq94nHJ6agko@#}L0%)d8-_SzRw95|)-{DZ!u$RQrJni#Vk3n{ zMx_5Cp2D5~og3N6+;gA$p4W-`-n+Q-RQOKLRtUQ(SSjccO3V%wd9jtVJ@vVVz|Fz=1*q9RsYGp7 zI2`8@KFT6u51MQbM$Vg^{9ARNj@#=UaEr|ryM^)Ws( z?aaDn8&&NrvMf-ps|Gk1uvu|ixF>nYV(GDHdG<;S9O zgQ83^oX^5V+(W8>MtNw6!i65*X;KEoB*@k1B;M6w3YM)YuC4SWB#07rX$tb1m ztqCw|3V1exkX(@YErBpI)X)xhLhWGI6!6R*$H!&2eoK1tM*LpW8hO_X2iZd}GWvdx%P9=v~X7jkyO2v5DhV94YekmWq)8bL@YjhCIE#CSsF zZG~jBTTmfXGckfD-jpHUnYcZ~8x~^kJqdk}!?WtTauF|*9d)zP!6#$+!FP_-^+LjF@Cd?Nkq=_qB^OzJj1wZY8@?{p z>@M`k#!kg7Umtq{x%52)G7h_VXyAoFhXJ)n%<(z~JT5lhY@m%<4_RoW6UI%c?3Cyx za*A65Ok;MW(C3)H5;!!s1Uq&{4wezN1lT73YQjEvCX0`pZBYlQBW!)d8PcA11G1kA z3FraBidKSJS!Lw-?&y1@oQo(N$jIE6S&`MSLm z(q!+3Eva3k742*&R`{cYm8;kc3v9$PHoi%q#kveEoJZYnWpIapF|UcO=(4R!>mI?y zRfZDP5|1V*J;ozGJdU`7MI_3Q$4EFhVkgl77PyXosL+^UZ$y4_;7X!MmS@5s`0vA$~WvrSu37 zSmiHXbXg7FqLs09N=Lwu4qcPyHK=<%%-+uSFne!f8jXP=gO^Gt@yG_z6NukToA9n= zNv@0^$kef&s}t|C&;ETikdO6Wt*&qM?N9xNkE$J+Fr=0IL{((0CU&{ig{i#LD;kHu zuZN1+(z-~*md1|Ab3945wh=C z_BOPoCbo|Uv3+!1Op;S|;6P)5m^K%If_Wmg)WxaQi7zpicz7;~fNw`Sxp7ZqTrvp$ zSe3qNKVfMMPKp=Vu6NY&lA)s@9W;-W%;-riq~a$k*~Dr@P@Q9IuHVwz%J?{5&z#!C z?v+YN;qN4qz$9_44if@JWc6ihl7BOHhYsDQgElp38`ss3zF^1+fUSujzZ&-{|6E;D zPo2Wkst2y@$W4SGC+0j2TU*QCU z2ss@@wL_qttby6CqE0-+8T3dg{Zq3)R#dSt`WYL57qwX6{JRW5&&7RG-|`*5+E`nb zzm3iW$a4s)cxh=u6BO|%Ze)tn+ZO(C%7ofka{XxB$+bd6>#*~>@Jq-16E&_3gBZ6* zAA7awDl!FopAX!sKO_>tZ+C_UDllZ_#z{2sg*1IoR7+5coV4Kg8=bd}!SkCcjH;D` zTE3#T{{0DiACVClb*+y#Dj!Ah?mZWIdr9QWf=lS)4MOr9Hn?eQ*N z8^b&Qd#^9!GYQjhUu_GFI9=t~4I>FLfoIdO&}b(hIw3<5W$77l_NQ)DYv<@oCv2ko zS5@)XE(GDON(fsa#FRqO`?H%(+JP%IXjE()U%T8><6_yG-!=H|pBkzkx~Pmki`n^Y zzn0qI77qof?A>AdINMlQ`2;?2i+<-g9 zvQ_7v;RCZ@vAFT zTV2lYn@qA13#*ee$dQcS*ZAK28FgbM}AzSYrX+;kBaL35skx@T%29qQQ+OuE_L zAK#CaX=P2S2cxS>{Su@7&iq89-hKl=Lang0MliQ?NUMce2`!!>E@=N$`_W>V+JPe zBCL=j2|QIyHbA%w2!`0Q@q=~|k`v8thT0)qYS5@Klk$gu6A#|7iYd*CdSFxC`dlVE zI~R&>z^AQ$@?4b}3Pr$>?m`e&06`>n^=?S=Si2J< zHJ?V#pQ*aYe!Tm<;ho-gfyJ_QNBwgQxuQ!}nZ5Ej9|9h3H7fJ=jJ;$2U}7q#G0WFa zJcaJWBK$Tf!H6wz;_L+7NnIv!MLjeAIFLD}=(-I1zP=mzTbNL{vj!Xe z)7TUzs@P>A`RoiBT-}r4G#FNBbcju`6-Gd>VL&Q`G}$_VGpaSa`>Kg{N>t2BZuSdj z`|>H=YIxJbTIm`~DXWE)C~T;nkjcvvJP9J1?@Pk+bb@D%%T_vC202N8RGmiSctIAO zK`0pz46&|_^LD9Xgca*a?8mLTVALN+JG!O>POy1q?|aU7oHIteWowOP;s=F0p{Fhx zGPn?g6##-3!-6ABbUKWX+?|jP77BL)%os9w<~k)hiLu$TQ+Re_Y4*(#9S^H_Bi?Zf zSJ4Msr~%rr1GRu|!N<{4I?<@G%dy*h=qdTr*u}AFSD5u^Gd+dy zR=a>rdZtd>BkmVoY*x$GH>R1k9IRfB*@{)MmtK=jTp=y8C~kax_yk*}4c;&~r=Gc_ z2L0n&$p>_et-N8Be1;w9##jG$CO4~UCibm5=t={7zNRbe;9ZR5u`mQD82g5`9yWK0 z>NJ#uU}@LBY|Xn0HMRlXVqqZt(dG7KEBwJ9Rv3OE>)%n}dd)XzKQ{BBo5gzI$qRjH zv*h8=s#})P%M&<;pFE~~LKtmALfTniqZ{xFrI4fUnH_L!7tbMbcEW8mfm79UZb3pQ zwoS40VSH9nM^;@+0*v18W8{adc$?IG; zti*tSN5_!K%ME!wSm^y5~^iAeEvP-qmLaN!$j($#_BPx3_!u`~V z-to}pXrqSg)E3HDFt;mZ7EP_b*J@pVs85F|i!sHZc-noY1Su*9o$1{kuk{Lc37fX)q)_jkgoe-@eixf9l!c!^5$8>Yh^8dX_~8 z;IKauD)#b(`tY}UnNMhs&4AyzTuX@Od^Km9GMxZ>LWa6$N&{I{HQ^w87kH|Q&L9*B z2!>c^#I}ov5t1pC?^tMsu${t8K7Owne*0_i85cZlwX?R*^4phd-N3Y3n-DCI3qcBe zux#y!O;e_|0b6orlTsLKIfx;l<{7I^K>Pa-O#jpn3TR)Q&GHWd8P_v5G{cWz_ zY8!RU>@lpw7?w=$_HPTPeaW^AW<=fK=y3_hcLQ4$)!KN&nY%<){p@AYQ^`)pJ(X&E zh0`LDcC`lKp0sEnn(xhG*}C1@7&TcPo$8_tg+{4q-fK1Lnc1s_eUXo*uY4fh@wAnm z8fs!E32!NpJU{SfNi#piiJE--i9##O_F;Unr5&EvKX>d4TB+ZEq5n~V7Kz&}_&8ed z$C0X_tCcyFyMm0X=WM0P=K8qty(K9G@))AoW1It}hAKf=aYi&*J&ZHEIR+&PG`qWl z8wyQkw<*r2t>^E;$TP3%--21AiEa0c)CkB;GPn?|F4TWyT#uwRHa}AWVP9L2%#wwy zT_7aZJ+lHXK@$$33Z`>Z}u%}(+oHG zw-9T0L@xa{1o0n#90x6qEeQ;{JYA@te~l535R1*Z>y5POlk2pH1qo+(Z2 zssO!9fWG%&m*O;t=$mKyF>tv*FnPr*9_tF-5;Q=4g_>K6Qh(aBNtO~ey% z(_P4ST(*3q_|^25IU3V&2oEHBlr%jD8uCsU8YG=I{|khEu)aD)P?dfyv0?-W(mhiojz+* zLf{3U)YiljZ;DG$QFS0s1Qj>o`9;7^kYg^B`SQ>d+}&OZxeRwMqr!?OL_{k%v&Xca zT%Ca1)4=ZsjMeM$$8Ny0jjtOD^;KBRM3#QU;jspXA!Vr4i^NcuhpCvYWNHc)YHWwc z@^4&wE$g_iqrhL5DSmBZ7h4>j%5ugNV0{GJn4Jw!YVZj6f>Ii>tRAKmvMRcEA1?gk z7q?o?o>$lK=w_tsefUqJ3H{j4NG@v>+M0euLB3L{z+p&@Gdb-OI$~>2NEv>>GP7q< z!4`%`Tks1;Cz)l}1)%lvn@pND4~~1aXvM^SoEg=yD29FUj8n^EA%ECvZA^?!N`-N) zF+wl2dSaizTB>Q~i4!zf#9wB`h4ziZcJ1N>fIrg(yGe_iG0q_6avmSGvgd6&?KBux zm~a{pY^prVgqT8zhi({y46}SAA^+8XF*2Rm%zy#UT8B!5MI8fgUupaU6h?AqJkLn& z1l(8_GL#`$PsKh{@CmK4Aw>Ystf#G#Oq;X(f{HSo(Ck@aBX(+D0+Bgrg^{I|(8gi$ zW85&F3Ig_V?bIq$sarI2cs@w6sLABcSB4cp=jlQTSqyj0=NM9ApviCpk7&x15$e9# zpHS*!0t>z8Tz|W4B)1W&*<|qIRFO=;oi1>SuM%jXdkbhRA)tW(;mV zVkt?1$AmNOyf@UFal+F*eNKHwT~@nS78l{w{Hiy1X@&Orl^gd$ub2SMLJDXyF}ob^ z1{q9CqguH~NnovT&Zu z&*~j2_d*h1n3L=rA$NZ!g|mw4nXK(uC_nwioYrbX)XAK8=>7tEvcQ5l+VYd6y3ip=;Kjv_O-Le-;)(N5EcUqMI2_Gdck)2|Np9(dta|@X0Mcfn1O8$GChF_kgqx-PjIMGhx1nOS07k zc7CCI?0TAoDByKYO(qUKX4qgOlo~t&JFzoj4Ed^w`CUo+cYu`seonD+z4otU%L?jGZvBR$0| zeb;EtZEN=wzVouzE^Vx)aEH@?4zc@*F|EcLGNoIY9YI`Se~*P(la2G&JDqjo3iNw(9qW?*gWIglIH05m(I`E?fDxBK7}$Mh$~ytI!HPyTAhd$agGsK;STVgJrAH)DWS^?u$r%p3u?Ax2lp;mCYiuFqH@P^ph%KIA3>x=_0n$bd6H2+t0Do=s|Ue z;SAUM$fo&LQhNqFo+f)e0l{YZ8c&@#*;*2i!V|9rQNs&l;kVO}HeXqfcax^QYPJvs4evsON=E0Vi6;)xdW?_R(Qe}7FY#fPeIIdKOlqd(U_ zYhRd=Z}0VcpUiHkt^1YS`2}6xf6mXZPi@@Dc3(Tx*`v21n;FO^Ky&{{5Zp6MtZ~{s zLtkgeLKl!HrCEr<7z~VlN)#R)6e(6gQd0}#VPqqzkn;Lzd&DjH7c>ZS?wJB zWc2ccy20iXLQI)bFH?awO;u!J=QP-?-h9Ve3$%Ea_hGiVp`f$IawiC=UXZ$AcN)kr z=_OuBnj~VL{JyMbaI|J0yNLpG&;eiM>aYv$yVfzhgCFO3&B> z77shdC7iaM?RTbV z0h|{W4O>+M!=9O>ze)(oJ4WnXwF zP@}$j@>)Tsx}yOS4|AYi9cRjO+QC57WeO9T)C{dQQ1>gtCvrWL zuVI0&g0_Eq+hVAT0Oklt6Wxh7(e@1|2sRy}?UAO6fA$!@1kzLbc_98m)d0(%&D8ep z*JGgln99!maxPlu3F}%KM}Ek-@0gt~i)?Tq1CpjRdNHe8Q`$mFBX9rQl< zWqc3!k6tm@{_W@ApxJ8zF>B-nUwTzved!E7-~Z*IGQX?T_tK9jASm07%MchPz;H(m zB_YHlB&3~%`a7Wy%bo*;XEy(3yEu+cRU~S4YZ6Q876?v*kgFtA8-JupBIf+}^#+C& z3O)H}_9hXl?Vxd;dmi5gmUlj!^=^F=K2QAES6l8Ae^t|;;rKHC(#G%pHLP*&Zk)p> znS?iw?bWX}vi+CYWqHqWtv>jc-uaz(i^?vX(e36OrDt-7y*z=avDru*VG^6eBqStc zdD!XYu@id6cfjgm;-yopm6#0;Tc!%a)=7Yg+_P=h$VGPEDE0V;g0`KF)!KrZ6Y0@-xYs% zfvZ(3$S!86sSBiwxKLUw&G2j-IM5zWxtb4T974AXJC^uSN)~+?9qd$8r@_tN-sl>{ zK8n9DHsl{-v^tD>aA@!8-=~KCJL)_XNw$MY*-}fjT4_~)?z5nkdSx$vpqcr{LZhY!;=nXh-1%MA4Gh8agtrxNrrW6Na|k3*pEi{~&sVX({B_O<{Eu=WY27 zL|m}K@#>qCO8@ovF{+u=+e^DxdhUE1#^V<+_SJ`9t}W-wdMbPLBu3|XycY51-fPrH zo-OLm^X;?wiK^3Bwmvolo8r^f4`wFs0k!@$;a_%1#~cvT#)0{Wy;n$Qe42&wI)s%* zFgYWnv0$mgkY@FE)wuy_;{DRpFQUkG7)qt{1xMsl%sl=TB z@v}D#sJU4@M71i3=z!t*G2VH~eo+nYedz^t{R{V4SD$_m=6BRh8RN#&@t2x6?cDt~ zwegSns2#ct^)dRbPxsV&-f#t9Y|_TO|J}gcI4MONk*5Q^5U2~Fx$_7ihO9xRd?Ckj zi|Q(EEPZ!=A)ysK2XfCC^zz{TJTbWgxche{!4<8axS~#t@$7r|dFV*LmB%r{1(Dpv zb?+{M{M_%MF@F-e{%FIt>O`wKCcO;zmehHzhBvMar8h3t-Hk_`X}2+7iJaWcSYh^qabf6MhfBG8w+h;(F?wuKRG2n&m#F?OAt| zuf#k~R0Qg3BJA@b-xpIfNnng~e)LE#IK$ZP!#T>}@7W|x9j0f%j(bQqaHr{Je{3jy z4G4Sdkyp2Z4SF@%eAq^nXVRUa(tWPaG_0C_{N!rDy98ip^8}-k_swt*N0m}AZN*Wws#pFFvJ9wYju(p~+J;o^g&5N;AtEoBhEij-8-MWkxtg3^sz(;1if#7?GP~G29We_3utr%s%rF@li0> zAH$mZZGU}e20wxI=Pp_g(0}VtjUL6N)Z<@=vHB_8NZQ5whu@1oljFs9;rGG*PTWS` z9OLh&VA*==7oS^B7dFXtXnn|#{Jzmby$beI=qhV0Nq(A<$w8VNCE z4Kw8lRawSf&LA6yKo>dgBojt9z`C>o=agtx??Bd&g4$4} zSf$hw;Npxny{IQTkhjHyWLuS6&pjG!ai{8w?&%)bE?jyNjk1B;`U0v^ea-g1Ovi}; z0e75=F^YN)+pd7wv`2&3jt?qMIQk(uGDMdV)lUhE>q9bXGP5<9Re*3;CuD}W!pQN- znTT^m#jJoW0w*Edha#h)?lPG1J4#v?%FzYQ!VPS!7;MgL;TdE#u@tf_CZRLk0Wlg7T6wK05o^y7vxq-Hg!6!Wpf*Cr3ZfIHFi(slo)L`=`DsLAc z+hN3)$_qx9CvsO?z(x{c5)#tR((aL?w0TDQnC|h4@H#fZHAEc7cwK;u4`SOTdvVE9 zYVZh;fT1*ES&1>&CT8<)LR1Ir!Ys*b8qESd2jsU51wVsBMH7?ZpJ$eyDd+N7UCLc1 zOB}Fh3Uxt@L3@M{laP>hmbhm>Tywxi85e8g*e>$fMqdzqNoF5dP&j*6J7>>KlSiDW zrUT=#$GeKLv%DRneC5ZA7Y_(D>Ou|PbcwnLnPmZ|89DsW721#a`P-+9UtLX;P6f8vLvojZS=5lRhu=rxRuaYS z`h?yXe*2||W-SPKI0HE^Hn8Mocr@6ozdUxr7N~(P;0&b-0#;N2!(G6tiLE50ouzg` zK)Ft6^GtV2EtI%nWTL-6){{zm$vDyt+hE1~Aa;o2%|lIco0cQJV-r~7r zz_Lt)-m`J`tL1_B@}l6zPXe&b0DL&d*T3cBd0WSOX#kCFgbf$NM)B8jb=ZN3IopIQE#n30D0!w*}zKqeAuBQ0K8D}CIkV%pJiC}ssjUNqw0GgzjsC(hC2zy?7rr8IY*i$p{qPe+-sf;)&_NbuUY#613^S$>5H zq0P}}i-j-wyMRyP%scSw#YcYUrn0XY;#R@ed>+5u_{hd7^`AdK+vXFV@u#8gL*Lxn z*3{)<8$EfCQhyhJBXao1qU1Iva(ZUlgKX{Kt%vhY31*={b8MOj(kNtmC@B;Y7 zCp^P@elFWNQ-7uKvv{=j*gul@WG~uKryk<{&*ei$+7dG|zZlNWbOBlKOL)o5!G?;y zW9L{63fp*$Dh|OTd)bK$d0;BIT|&CVqERV@a8XHiO?tuHV=A&>JI*k zMu9NU_RzW0d8g^*Au(TTMcMX&K{wf`q`Qd>oV4JlKMFJ^1DxJK6oNt(3@cpq+{V2r$<#4aHF4cwy2-0X70y;mgWcX_*}5h8?tT&o zE^!4vi|T(V!vf{&bD2N6F;Lf!DWm=d>YqI!+3xQtW!|dZgsS8$*l%q=4D2Pfg`wR) z{?u{MQmvLc4no0LC+(gCluazyl0e9XexLXDVwNg3cmxh8gKbD7cJ)up`1(WnG;D>& zuL3FoWQ>-)_anE}rMqa=8)vNHM?Q&V>;LlbK<@pGYwG&W13h{BbMZ$vf@SLiuNo>Y zTOa3N=KXc}_?iC`lX)Dr?VMo9=<>ueZF?`RZ4l$4Em;LfKU0Y;l#4>3%SmV!Jck^m z{V2Abe9yrh*bu7l+PK7w-hb?5X?FLiwwJ1?U;;9FFA~dN$9?8cFpZ8ebOHF|DC%S5 zR}4N$!tf8!IYAICTa`w+G-AwGD)p-nyH9#bu3~!==t#OyHM)*7k`BqOElGWVSgvj`s)OB8tC`!&IsizN^(k zRe_+?OR#~Uv1}!1ySF=o*k6A?UMLomsfUjBpZmy!wvVNvTg=xYyVYty&s6+4&i&Sk zlUylA*Spz}58&1W0}v}I7xQ)mp_tFL#aDNxXiaqm7fx!YN;*_!7v^+9)yLKpS}K#C z_p~*|0hpy_v&SLFfi(-Pytogb#i*%;F=ZH!u7Z>c$^r$Z*T(XNC$- zTh;aVKh(p|=Cs;;3twphz^t~OefhOYy%bLrG@iDeBTb!(ra|VYD#JZc=FU z+xWAMq;vtzjz0PdrM~m|xXJ$qSh|kzdse{dPl>wn8ECLSo5RyqyPm!Eay8T>yV%Bp zmi${MZt@@8xm&w!)n;-?<6gZ(sl^_8oB_ZuOqwY><^Q>7&H>2l`eLd4% zfc$ippDrDu?b!R*_o&VOH=2BP`hEGgdp`N=Q1uxEDJRg~UIy7+An@)*nHc5{Q!}>L zKZM_a$1R2L@DuP;3$2d3XSABA zpTlMgtXyOzAFQkva8ruHMO(m%NS`-z%bqTjAJl`HkK==ho`Fh8F4-~cGNU%}As5uJ zx8pI>{J|AZ45;>Y6g~=}u4Sm!p(i;;yHiI#itB8Cy&UpBdIf4L=-D>XQYLi=4yHV- z)T(cIKFb@oSmxk<()j_-n$o|hu87VwZt~~jR>Q^wj52)~IfsXG>;V>Z-oSaKb)V4X zT4nb@&{0`=I?6Hy0|vt!V0dB5bXl|GJ_h#NMzDXAJ;Pu_pCHNp85Hg;Uk?}`(5#sd z4f=b9*L%m5#xVv{dKpufPS-KkR?ln(V$uOUOj*DZN9^1zN9%A)PDoq=Ph8aawP>98 zX_F0}g-f8<jWVc!$fHoif+SBBCLA^=F|{tdjnaC z8UHap^i2$ejEv7%BC4J6-#qxY z?>~meu=qa6=1*R=W=GIGu%2z>D~VTe?Ys3%HnvY&2S0JU(_BgPLZB{!i^Q;E-48Hj zLg16qTqx!dZUmTwgtW5QjzW!{;5k5D?clm&8^@Tfv1fSQm5Ss2 z{LyHqQfv18C-Ly@+1X~fI)#Yb&0k7V*Krrg60YGrCc)UW@HzA!g8cL?tslc{6xoYZ zcIw3$-h4TopNDgL%N`Hrmji{{?Z&6_OtW?rdvg7uTV`ri0hrN##^^3H1XA@(*yMvRx()kMMRXI%M zncg?ACzg<0{wy^PSl?d3?I`|mwYQC@t(4Fip1Xbp%0`{;o$6^e^hLp-M#+M9(@+0K zfyg@rO!CFk6$QQDei^mzCT)lVV_*E&>b@~1D2;y59~ZIr`&h8ra<+q2tlDsdf{ue= z8?ng?z~EMWDJmU@tC0b#J7gUxcg@x2bu2@fk3yM`ni;g9B?wor+26DFZB<>xi>W#< z;_3)FG!27r!hj}zn!jAfQP4l)P?*UX>rJHN@|ar|0XZ4dtHH7m5!2jYS8RU72%zs+Pjr;KB+Xnm7b2Fup#L=Ep=G}`dR;#im06%DIZ zZ1)V^(9l;V!S-HOqJSPQWMU`QEZBTjG+2V_9Fm_S@AhoPj518QYWJ&_63!w#o}AboQ&V!IM{TQl_t6umz$Q zg6jti+9NPFe37kYR)Fv`mB>Q5PS`>#)OB4etSe1X=i+v!9!ErL7?xeD+%5=p8!!v- z+Bn3NLgeiPXZ8eM|9$N4K;8UM{&!oghF$cvU;3}{jiS4!uxvfSZ>uRkwrl(@G+q)` zXa8K(xYy76zxdV8^A5Wjv_Kbdg3Tv{m@+n(DaSI<5H7R+|FiclP?j9!nc$y!v#PVZ zOX}NAwbT-NM3qXS5CRnsgAB41Rcb^T3w*&1)_Me7v6z(^W~V)XN5ez>Rg|P2Muq}2 zv%+J;MQFWk%(0spc~-{QzJTnbnbn-r%gQs@2cNCOVpd>Vr|m^p!vNavi^$BIc^{d1 zt8YJK`>(p05&tvd|05zZBO@~{GyN>Ym4gAfzhn#LB4t#|MsWHeGkg4bW>Mc0+wcv1 ze?$T&q$91Fc>w`;Z}#BowjaX#;DlSA5lyZAMG(y3OIJJfuzw?4KW8CdHMdw`KCPKC zOU4#(7Q+EK&^ElGIxSq*+WG zPot!}%Qd0$*70j%iZ{p|ybxpXRv>2UjtxV^527GG%pS_~%jGGoPkT4QhBtQ>p?SHn z%L~A@f+cC08m)-(+78W&AxK0VPD5*TlYPQ zd-6-T4LfM$hkH~$k8|hdUrMZxP6gp-pHI;WID$G3=z@$=E>9?{+enA>sz1O&{iVRy zgXRn1bh(I7*Z2Tk80pRcSZC9`)J)^1>Y~i@7nd1MeGxi@{eY)a)`e~1X( z{0tQRGi#w7np1oVNAnCL(sg)7h@KJj@TO`jd%u}LY1zt(pvCN9&V-%?ZFf!0*TDEk%qQ6)8FrLjQrmk@QnVn65lgpBG!-cyp!K1x85e z2>cjmABKX!U=Rvq@wZ%fCX3*NMifUq1&eEjJJlZ;EC?P0N}opkao`9x!umD7@}U`+ z1&1lwB=>YnI8F`8_LY8!6*l4tf|;N$s;aBcw>Kl}7;qsI%YqdVr968lb|4&c;k375 zF>3D8mi5=wa!&fLo-iU6;w9eF=U%@$V9Zh%fpo0%~2g?!nfy(i$RE-R81y0x6CR z=%s>phU2G#Cnd3!D8Rl7DtOLd%cv+>p<+5$$PUREHu_0}HB}modJg@CD3srB#I2)O zd&Jjmv}A8W`dX~^zvTuD)3Y0a{ntaO2p)~#3D8V(uF!gg8?wyywb$>>)@(Lxc4r~*p{HU^$rDt?4QB@5-f zf@B&|CKy1&M8T|Er9|v#2rX&pBdo`lU(T!?cw3z)}ka3JfWwWPvb<8SM~5>9k~)89I}X0xEt^FZUG?xf6av zu2h$+^iVNQr=HEAI}j}N*yw8*Zvl4yPNR>{!6RG?{zKI8foBEM+C!&`<;DZ=w%*)O z(ci~q(Wh^ccK1y!oC~Mq)4q4*8*P=-+*QD4RTH!(Uy<$fc_&VucY{}w=O`^6%5jfX z9l@!gwQ$FV8;=T=MzzBG@YD_=TE;ZEm_VC963^Hw@|-I?#c*dV|Y$gji#03 z9+s>sWO{P5$}Y&8Wli}2^&mPcJ9la@XPFD}$W>F;Y!{E=FyDhfjq$lQU%eP$&_81D zJ8Q!_>4MpwCnlcCmLe3I4x2>vk<}(~H!Kz9QE~KAcXY?_Dq`?U333}VdC+{ufDy<0 z4cUcMEznA?tZtQ@6Jn_92Sqc(`eKp8Jv3ZIjhVA~e&aJW`#UFx`rx9XD9&?v2BMn< zHiP?d#Dljv506;u$c6UhFnyc#zWiy+R>nb3TTxEJ&}wa2oGoE4ADa(55q=(B^ls7g z1(qVe1;o3j95vl^1edL*y@2<`ws0@7^JeouXlPSv<-R^=rK*|?{$ouwnFrV`F>LK@ z9Eu-CrfN{KMEDsdk`|w7C=hszu;ikVY>c$b6wFq38_Y5&rJ_6V0M#RSwsma}v$C|I zcwNsPQM{5VFefg8XT514(1iGtZ` z|0Z)7yDv29v#oX-T3}Y&CvL#w7r5KXcQtkQzp<~)v1BVx{eLcl&C;QPDku~nDOu8iilVs5Ttu9%Tvn(mgNLs-2izm9TY>W{ z`St!rG}UI1Ux?qMHxSnc(GNpjwu;r?0Oi=F0q)~icew3itev$r2AJB)CdLRq4l9?k z1=NMwv8b!C9-r;?^i1GZrmU#F9nJMi8JdZtq57EzgN}yj2(EfE2AfK#F^p8|*apL5 zwam~+4Mp;;Vy6>qYRuMXA?%Ha98M-e!(at%A^>>qB?18(#sJT@5~)mQpjnY#atZ0wohd-*G1~ za=^2)I61S%2~j~QV{bkU~_fL%nmq2y=`h6>N6rsAt_m(xkO~37^SKl@#Y}`1i_LxvXri_ zWlpDLDI{iFm_1T5CmqeQ2D_|3Oo9-Dki2W4SU2NO8#KUzGs?DE8nTpv;>}HDAmhW> zT4n$P&1Vo}$;Xlo4O^YyQ^82cmn>^YDK}@wufea&a@Sg_ulP0v84u&94Qy}%O2{-6 z2wNnjMjUGalL?Wn6jHMVA3?&>b$%`p<)I3GyU{R_n$3)`WYT~``SOXb_c>7|@Sw;R z$zE3+I9XM zYC9bzGZQTDC{;Ek7$!Kn@N^bEw2Lh|p!h!O2x*2Cg5`!RZikPRF%wvqFWuyxYNle2 z6}5V~<2y4OmebO7;Q&BHDa;tS5|B93pC%w*7#^78OO*o z1jABuRT2*=ICE0wI8p5gc-tM4e7_I4dUbQAS!4^E1}!X01!E<2!I2%gDDDG0P!muF zYFoo0qgWLBDxmA?$@&0TlcZ$I{8<7nKy}KZNj(rkJj&_;cjA^^OA8~AjYke_mw`!W za6qKesS#DkmU1Z$Npl{?HJcnzhXA!-L8# zbZKp$AA}q4jhZida*p_FFk~s{LZ0o);Fl2wF_z?Vhm^2TxC#sz`b$Qfa+5EjC08Zn zRcR6xL#aGruu6j%3elv+z8a|gvHuU3Ypc%^8(!=L-tvF%7yaUuMlQNd>{e%Y?7kJb z`FOEzT|5Ab>4Xch`sv}HY|3{pwX*k*r(Jm}%=$Tu4RU3ELV6%vgBU}Za)*p&p=>1- zdX}kVKbsls1(+FrGQd>P1Mb+k_KG!;uiuT?kRNod{OKGHtYEM&Db`Zs#c;12eicrx zj-qyl_lJx6c_&}5u4Ay)Q}_>DeI77YLzzR*agWV`$PU3dA60C4w#4^BsF7^egbe6w zAK8s9m(1LhoGt0FV=!6&0mkt_cO2%Cyln=Bkq{Eb+%CHWzw+);zIAdXGum?0b z$z-N*ztseyeC{4|NQ0->h(2E4FVm1(3*A!@u^P&kgDoeYbyVJ6-6r6aN)eoTv1qp zQZS@ux)Robnz#v)LDq7ISZW*2LP`}FGW3^>IOV2LL?SAopo+x;7;Xj##o!OG0flOS zI_*~-QfV(=CcHXrLCXB))vE9MWN5B>(u%zo0sKc;Z~D>ixw>htZfLxZU5KZtcHf8@ z{#(|uL{aPY)O0;EbWRh*8l?Ee~1o>0D9>7-Fg>$b-e(69hbTIj<%GGV<#dsKN z4hP;5kWFHZpr0YM-IiU0zOhZ9_lJWGhU0r!!)s0lGe^K|X0SHcKS~Bd7{1B61AqTw~bj9>N8k(qt3$dKW5Io2>MzwtA{t_%ORS^WmJ4pgL7 zFsUx;9jHk-fHCANcgScK8ZCtmsAt>dMezyhG>`0Rc8-4%>%x!Tb!6uD{jt>-^0cWMG6&mg-pO%1jUuCLxdRVQymKNE=)WR$%D`K!4^c*@)VFyr%WK7 zi5FL^-w~fSi2y;3^#;W9Ad3-Bt5Jh7vB7n}{&Ruo#QlJ>9v~QEQzMSGfU%$|h1>@FQXu0s52^6;3h_NyJqpEL zU6+I5^`W`up?&Lk+Y3H&G<13x%t|kRdIAWyVLO7Z*buIch;c6-y2<+zb$k!5>{o3Ri$S?H9d% z4I?N{V;dK`;u=bQ99OF`u4mt}{2Y;2AoCG_x78nP9Sar-Uv>07Vh$c_gy!64WDoqe z=h%T0W-2Q}$F<)hooRf|?)gbA!7)&iL5!iIQ4X>0G+;D~qfkK^6r5|yIIC&(o^Pnu zGbRW6rX09N#$po#u|&KLYc4)0%l>YwNsVZDWY@jYz1Z9z9gXgl zd*;m%z0P&8lwX+)9jhwXC<3VQTl6UCZo1WO={MN*;wKcAUoE=ejq$q zQcgIL;9owLN`T@Z``(psu4~s`jQh8yw2^yQNg9u_WMU^hJ0M^GQ&1waO`WZA<-8#i zU>_@%`O3kxX29Tysi5fyD?iS4-;FdHASJMly#bHxwLCO70)w=_LPBCRxUj;MB};i& zl`N+XW+rQ?Jy~_=V10{vN9kuwHl?{R!|M`*vn2)vj$Q&m>HU!B3Lwa*@gv~AYTV=k zQuJXSJmMyjA%1nCh0+o5!nH2<82CLx_|lOvA#H{=#I%wJj)*Fm>NDurwW-uyOC`LlEW+*YZ08*4X5cAI9PhFi#Ci;{m2J}fd^!lkJWJYAJFXb)!uxzRe#YnWwsv8YW@rAoDc$S##KHfA$Tz4{7gZs=w9_wBOn-O#5GE~0hnHGEi(h2GQ6}&#^GqROISL8lJhJr3{ zuost%gPf(n;uKV&(G|BynEbbQmSg?$ zrSmfL`oLY!@}nD;ZrUXIvj&IW{}XR@nY&to`1Q+jP#cux>)?HH$u$xb6fz#~;>er@ z4hYud=A$S#_KvXq01ovTZ#kBNg36L{Unm*#+W>#?Dhjf~+lBbRgTGZnb_y#-yNF2l z_wcmi>u|o(PKMIIg8)XoS(64wCrC`Yq)eZW(t2q!>9|~TMjCV zZ1F3HR?=Lft$>|0gC(RE^}oM7L!9;mN_Mux0k-`rP{+_lPYgKiIV;VyW?15Yb1BXw zHe~r>=yI&?#s3H%0;uv3#&EkE(|)Y^YHd<|t zfk{B)pvLR+J##X%l6Nf#T9{P2ELEKDo7Sa#oY`5?L~zbjBdlmbt_Asa;Pv&{c1_Gv zPVY9Y&P1$s&{qI0xN0{OxbfaqsWCjIpb6TyCQWQoz*_ZFPJ{WWV5zXT^Q+T9)`#tkdT1C zs)6s}C1W-sCXLrpbbw%pr4e_=K~{sG!(?W6zt6W65=KIVBk-4QG5oxOethqaec@+6 z=ZavrcBq{91iIcg5xVY&vEbo5QD)@Mo2|sQc{kQEVYqB|wD~bu=&&4HQ@dq;q`8D( zQC`FJb8dnn>@72Z=(@g|$nd(2c1WgeC=02jVAGP(xtT7CATY{(p+H2G0!vX)MFhZb zGe9T=f10ek!>RaXX7$S#CMt*>1@n;l0NMsFTNk^!E0*1FTsL4gCj!wsFIfgbf~{sF z4tHO(D$OT?NI{kRJ776-xyWx^kGHtrVK)yF`^wpM*Cv=Q1p}(9!rZxhw|`Pg?5BSj z)62*z(yk`1ZiU(!lFA)2nuW5ZU=Yheb7FmrOGSqhA$hVu2le2=E2PoOjY=6g@Zi;9 z1DCDnX%-U%m$*hMx8up>+s?=J><{7t(s@NUlB>b-FTg=KAuCu79z+LpURUILS%PVL zqb^v_IPJna#BDRtv}ECzpo z-8Pv-Qs&q~9l?7(4h(uw8|W1L?E#kCb0qgZ)T9if_ObRVn=%uV{zl0S#fSY^(~n4t z=N3;65DN%ApE97Yz>sAs$Mb}ro3V_HAlgdQ4Q4P0A?M!D{AB}=jTw?aiOvovjsTT;Zzs| z?0RqyVhPkP!8dw+Sgq@UD3s>;dJ=_H5=(H}{^+fPak0QWv5Z!0GWk|k&0fs`hnxYx zgdzGU4QGQ-n>maY23t@$FW6?JE>q+z5`N%D{X<0%g){*-#+T-%OIL1^p{T|}wn|lJ zH$#3Tn@mYtKZu8`KC;L5c9#U%R@0gG)}-wo0fgghD@FN3S5g|#5AvIb+eYN zY=Z|8Qu&A#`SvpUS5qVX{Ulr~pq4_W2)B*^>b8DkgDuz8a;V8AtNWt=*v}A)tQwj% zPB*02wp&9Oizwr}3#FA5-v@dc3Is!r)QDp(U@Xa>p0zWTX03|(M{iWQ`LFhc8?QO1 zDR;fdv?1JnVn-0}z8E*jHY9pz*whpxKA<>(1|r175anejC?9`xo$R>-C5} zC^dlRV$wOb_I|54rf=Frgq1cY8n&u#Y*nKj;gSSrltj&mWsR;zuV5Yv-$uCzZp*RT}@Nn=h0b!r5SAn?>D&8O;5`n4<&qTM>yTZ;!eyR%L2&;-VsM@huS;A2NwR)-0s=8Zisln_#Cj z?JOpMk;wq#vsjmF`EDhF^1yRn#xW|9v<^Q8WlSuAqwxOCDFFiu>}*+BNwhADrN7LSftNBEuiYs_K}lY&ozF}lU1Jz<)vsyF*5sQU zn{)qiWf>jAdN&^+9}@#tYcXhr!tp$bEc)}iC4v^S4)RoiWpt+h2QMIU(tCm2Txu=Ho57wApKXn|j$yt3Z(M&+4sRj&B)|Q& zO-xucL_T$HvV0A%^pEcQ8THMQ6)6Yn8JG8CrQ^$RVuqW$6#6%>!MnS*5+iir@VXV9 zK2D75^!`H6GAsn4|G43?r+<5N&%BR8xoInJ+Y?&(6|wGx!4EcE`R#r1^4rcw`Vs`- zH}8}wdlfjA5?xE|kGJ25UX9rZ2X;k**7+_H38r+Hxc68P#rDI{n02FZaxVMJ|xdp(HBDTAku&R%z>kJa2wRdv>vpp@Q%8YY%u5ljBPY!q2(!mN@x zLXla7Qs9Xa7@-TSfx#TJ3c+bO&n8BwM)6Bnksu~v)!noC3m@u+V(wDG=la9DDYIc+ql<^n;%&@-Mjf`VDBZ1CF1I}%`aQG&n*QGgNH z`mPG@sV3J(kbt^zXl_BBvS2jQW)X41BnMQ*GS)A)oXOZNigXP)&ojOStfpaL4nM#q z+Kq;2ArUf$zu|Qo@RWi#6GDlz;P;%Fv5<8%Bqd7=;B=+jxYr?D%y8oR8YU{?d7iP$ zBAtuh;Y1?UP#`E>ni(N$5knzXy&7Par?QrueEBGzUw1;@Gpi|q&8#U(63uq$!fC@u z27;2scsh&0KHO03t6>Y#OR9kLUK_zr?y!uSSP*ts)r~GKamZ+&RTgb)ngz%?m%2^@ z_Me38Nr;YPwoN;%Goi~3`m8%SRe1GkvbY$fyonXE|X8^(5EZeVofFp?DhSi z{fII1zT=e&`9m=oRRkwbNGu^mV9Yp$s`yD?@jMP3hN5)E0)Fjn`NSqt+3-Tc3FML~ z>J06j16b1J0Kmy`Q(YE1la)w3>`#G^xA^4=g+qvOMx4(L`3h#E>_AD<2v`Ch-_1q| zmEsW;8nPm{3*SdsDiT2Q(*{kWzGSQ|AI<1uFS}59qywhQ0e#+(gRJc3)>p)BTWnk; zxH!{*!7syDW^$e?I3)A>C>9ErLcU~K1W_+qJPW|qErVxlcLMZGSOaPgObvz}(gY9c+MzuT#|hNfNx--_@&$u-xP zh?QUi3Bb<=k-Rm;n;{w-()xKM_|c6F4(*(h6ElmU+-?FnhO=ovq)*{K1e{8OeJ`1=c9lV40!EvwA%xPnL+qjC2sl-F-y1L5`qN=G(V4_or$WtfyI(fAj-<_vY~_6+Uuz@0;VG`{<=O z+SUL|o(5ic+I$?Bs>}dx`Z+7z6Kr9~xIR44)RlbY4k=-wa1~fGqyw89~Aor_;8aqi+KZm2S#Q>8!upzhv!4H^H;g@V#FD04MJOxK$;b!G;MB>ejCWSh<9T ziy-z62ae7zsWuX0I57-3h+muWse8P>deJ$GbWbB8-b2A^;&M>Qc2fhTjGVOvbBNCRYU5 ze|p3AD=>V3w{SurPB6pWZO>Gv!G zLVxobvr*k;YG_Qd>=pPedaljq&yKV&()QzXW@>@*VwQbr4W*ys!Xbkg9fEs5g#aPD z#NOHmn1}+mf-wQI7^ZtD=BfbPHpgSflg>$=(xh@^8nb4-0&(_rl7KHE)PW_r-Aeqn zm)Pdkzg?9uxjH@arj?)h!1EC*y+T5M>(Q-0#LnVp(1*iat2Zr5;||=uOCh*)-)5Sw zx&NKu1#)k&KyG+$7DhHxeaN3Z;0wjAVWHUkYL?G0AhDDR@pFeS>{AUE>5QPvq(%%` z3m7l-eKOU67>u`dcQobKFdOmF-*h9We(^$_={{4;R&&of-cH%HZv7#(!ED$LamBNY zQ{(t4cYO6F`^qOJT&rQwu_(9qT#J=7c!G-sW<_CS-4S5KpOKHK8+a{#!yV$QKa_;5`Z=LEQH zb%wRO0}Em9!EKonnDXV@OWkGb7S7ICw|m!d`uk_V&O9|GKRbidL@x@Jg(o>QH~Q(Kj`BW$u1&;s5Lhk zof`vXzw&qMaPW7})B#Tpxr-ZsnrCwt;?}ESVho54#5EOYr-?JUuiq$Zm6APTf}q*a zxk9OO5RWW78DH+<0Jl-Flm*Eck4Rd>^InX_n1$!)SAYYUSW=Pwuy&O@hb~Y{GQLSz za2Ph4re|$im_Vm35j;brqzTiyO^cvuqH53ajG!l7G3)fy*f>TMnm1LACIayDYujQC zuVs^Y2rf8E$-SCk-Z5}zuc~+{JX*n+aMJZ0)86*j(eQHx)!Itxa}KDq1DF)iO%o&c z>M33B-j1^@#a`X1uv>xXABY6b11dL{rddmb9CH|4R{<&f0QJHOMJeM~fhH~ta%^<{^VhFf3TNi$_lX1FH`0L3-0U7qJO0WqUmWUNZ z1L$j#r1XJBo-KuY*9($kO{$?tP;#Y4+^c&F7%zl-rIld8!OK>6Q8EAiePI)~PXXF^ z?(8mHwt|2w54@ylb;m?bqQ%;09i{F5?{Q7y|#5>#>aK|DBUH2peiB1r%M$ zFmpx14Wq1Z>#vh@>$GkT>sT`k%QxVux-5__hqPn`bEydGStyWyoFSzS+oRz#PWudr zX~~gP<_k6ks^l8DC11hp^gs4j9wdweXZAXrEj_VUl_kC zQWD}^A6osaeMP2;(+i!6n&YrCQBY{B?msZA60faCU3WJd*C)n#5^u_r*s}Fh3fwWC9Chh%6UK8s{cA`5AZGL*TOf9+2YQXp@bp{F7 z37eq}v3!3Y&Ul)JkN+TM^S7bXMhr3@%h}b%-b9M6gBF&FD+6w_aJFS!z@gjsM!)6y z3d3e}3Zafi#xUPEwhT&6*q(D(rBMc+cT|nRPa)I9l+ip2*A**aD5it7+=;mngE@aV z-}Hvsjwr@onQlH8TR;O-R34zP0$jlqE0<&!A396{)5nL#}# zi+O8}-s;TWju_td3VcH0J8Q8#zQ%4YTbIoFuR<<0@RZzlFqioG^Fn#@k}ioNb}ri0 zeK7+03yrpf&qTogwZqrkfWKk>cO&|;V2P9bek6zRXSBLa)U+{_O{;=~k_`hty~eT` zlBp#tGZAG#MoR|jPJ3Fap+HbDNM?krMT`@AM-bpFs0wgo{eBp4b#ONP6SzjX=6GNs zq91svghwtwd9X-W?!HvRuil3~{Db}I-5_`Dl<2p1hw|!wzA1QWFqBvR$X-|Eb=vE4 zQvv#BoCME2UjlRDvaJ2x`<1Y-CL`(w<(39gPbo8*Lq__nOSURdG8A-qJA>B9R0Wg1 z+sdzw)Br2#-PQ))W~%X*=@ZkHbB#n#-~#o@Mr5Ym7RptJWclD0&cW!(19u^IkD?_+ zW?q&)izx)$kX1CxMGLBH7}%GBCH1b0{h+5wls^7gD0Zn4x+6LxI^vSN;Pips0BwD7 z$yi9KfY_3u&|XGK#OOHXfZ3Z#1H4`dFdJa=~%7aI$~(GP}! z{mMRxO~Z!xwuX&PqzVyUdF+`8XB&zCV%6V}_0;N5K6F!Wbf>*Yvc1V(gn%7A&kb?~ z%IV6WWNb|n1GbKd!uiFx=$82D!|r zWF4MSvSs+o%ZPonc3=LKWVcgMEtVw7;(pEY7gs?tY#B{CU)Qlu&%fL-6-}uG#x-se zwm`M``3)20;GV;xn&Kergm83qdmax@4roBfQ(?_`{8ii-=}sELehtq5{m4Q-)^~Nd$)+`x&1;Mh-!^)sQgVdPz7C7s}(OL zj23&LlC-U+8VUpjgJed?TEsvI@12yWatMIgDVERT=goeTDSR$)myw;g4ZFIvL+t$f zc|F_UF;oI)cF$hXXFnJ3DtvFS)6QPup1rcI9~w&*Ul=Av0lKK(hGW=OFI3E1O-1z6 z6qs3)hmM{X?Q=#bJ+yAlrIzH>Q_4&xG|XpiSXw7rDg3har7!48V>n=~R27K^6S4(W zNn=nF2MCtf)JRDshaI{ont_qcu%P{-cdpSXyxXeobDNI@D!=hziLOfSwyu2p!lrB< z@ho!x=L0djF5}xH+-0lSOm$fTMJHp-yRDmF*{kd8=Si0c{JIZ+pjO=sc(ZO}nW00T zfuG_hg<54Tmy}XSN|rfSOSvnF6CKejtz`_euKHOP-p1qQge`=Nw_)*Z4I}S-X1HJ8 zZ!mK3-F z*dw^*4TMty{4i|=On5e;!rBOO<*{>w+-P)QIwUfLN0{IgHy)x|uBXS0KYq4nj>sokI>!>TvW) zV9lH#e`={Pb+~MSso&oPVvWr9<=q!kl0ZfH_NQW~{|?N1q|=N!?6{r%E-o_c$Kl_Xi+XbH0h>Thf+={QU|pE>0UQbZC$czaN zF^YyLwx;Uw9867Ajf@sQ=cTj(ry2?bC0AyItVK*JM7C1kqN9S@YaYWTCDct_EWxjQ zcS_p-2kxofg$G@4J~|gE?a*xJ#8k+-=~r)v)GU|0co$OpMTeAy@1FO1nZNN{_-fJ34L4WdpKYZskmKe< z(o$w-UZP?y#+hqUGGmFY0vy1_f~kE4mX9xet~smAmoHNYHPQAK8WzjIniT6+xF#L# z5QX5NGcB=oz>+B~fHLE&=TqZttu$NXNlc2HQSCwZ_xPGwl}BG;u%o< zaO(iZA?$$3em=I2i9@y7`97OiwWL6G@I#5*tyJ8xL#_`r4Xc%x<6)~YOK(D1@(Ov! zzPpwvH{8RoVSlL-`bqC5-Ep)stWA5;g)S&qWv<$+G#z6mYTWe(2f!6{S%fxHOCp|6 zcelHl_QRt~L|8YW)0V7m%yh`bnd&HK96k}qN19F+WsbCIlJvxPnc0bJo|wXH*qV?= z-Xyb|*sycP_-?Dkp3`~`lv@nK7@-M=5k*Yz8**|UisxBr)2)8m$RE0>XNEHmCY2-x zO{o+{lk*ExoeH*;f|t0UqY~(c$w8rNb<~coUmfX$$4wOW_}wGN469gKc3W)d9oLuK zp%tEC1{bt0j(2qs7GIQcYv6qxLLC&Q!sdU1^6kNZLrlM()JQ-6%;ufHPjL}Wc>PBX zs9&_!Z3IXGP9V~cA+Qc!gP3=su+?bc&dt$qzUa{!-s?ycZwu0Si$g0Ht)9E$6-#G9 z&o@bW;=A^0wMr$6YsCY+^uHlCz>k%*Oq2pfJI6>SIw@70X#mbWfJlPk=f+CI#2_XU z8sF@b%T%eqj@#r|J?x%sb)B*Ke1h(kyEQAaLb&vb zp4z3^l#LsAgdJYCn&YVY<+wjbJS;!leTk)!SwkO|)QtkEr<9pNuM?ZHbdb)^JCimH zVkNeqD;>&HRT)Q{tW{7@m9%)J&;Y>_n;IEx4ob2Ustjw&NC`4u^cv-%vAaBVK&GFX zm%|b6n&0rDaPcDCIJ4iz$V;P7{5v+Yz!Ng3`lQYzqnONN3judPDv>?S|p$BD0D!TsumxWDq~ zUJdYI6_)d_h;ptCFZi1;NITT8sQ_uyvQb_qtuMT zGw4O&nOy~#3i{K7yxCVwvrK2;fhP=qORAVfM7xg=Ma$Dj<2=>Hvf&Q6`elJ4C?v`u zV^}5o0PkQp23WRedq;5n$Uc-Ca=7F_jZ7K1_{ekq*S z9Ja4WO-y`mPR^rrjbWjv1`&-`n5hDW-jWHv;~YEpsfLU&fs%oFss{hcFB>|CnS9P1G{9}$wH9Q z{8fRjfkS6L2U923XpRb4H^sG%zqeo#%<#I2B{^?avXUj$A7nW=OQ8x-(hIs$fdOld zEv1gy7gQw?Q8Et@EU~E(#$~VuJt6XzK|%Y>ZA!hoj+Ar%VxJs7n%r&u?04s!5#!8m zfrUD!->J>ck!4N``=hQUwhmY@?RKwZdQuv{j5W#Z@pxUa;oa5{M*J;@(RFiux?t9o z3|bUFSN#DNvR8s7M?u#}5q79E0A_5P$A8a@;5t&aup~m(lcL+_tD_jVU2#Q4?3DxQ3b@(p+JrTo!CXXSoq*fEz?z<89cFah3T6kr&dLn1I7=fp ztz0e1L|3M87zt&K`I3(<{Ho!>z%%Xu>oxdwStLNFp+Mj}&N3s8wSdWloQkr|gGu>P z?dUg--8T%wX6EPM9fAI(S!rxym4u>{?nPZP*DEs1Q3;Bd*$xyKQm9E-B$U)GE%G=l zl_e8IZCJ^w1&4DS8je2I*fV3+_D)C*YnU|Qtg`tok|9q|qF1t0XOF$()B;}k(QiP} zV+aGImlB&4Dcs<5Wjxf)5zCQjEU*P`h84HuF~s@Nc%V!L?vu#aO4*=W!oqSH(pg~o zrxLn2%O7wgrBV%+89KFzpW^2NVwf1jq(Y>YLKoD6S>tr$qy^}vSmvEdBfi?ANa28OK6p zl|Wq=KH0RqUlZSdhvT>0-uQ{^&6ZB*pxM46Q;6Eq*~}83k+l-~YncfomFyKLqm(2J z?-?l>@=+PEVaSn1ff>V10h0=mPzhP{pxLGSZ(!j&e{tQ49QgijeLb*luHtPsIUi8>6n4%4aPu~--kFmjG8nx8}Ecq8Y;KSAQHKtGaR z$9w~Aq5Z7o@!*XUA@(keWEc+Q$WZlD>wV9h;YP<)4- z0ka#xxG#hBP8^$x!1`>iW9Fja`ZQUFM>@wjgheShCKz!g8dK_2uovn!u7P;HAYuJM zS`6OFO>esp?Goc_TeuE{qg8lk4$Uen0!8Y8Ntx!5P@Ys|l1v!<989N))3p!Lf)g0t zl2Ym!+?yJ<=L}Fz7qw11U+``CvgMr&5h9B+lb{W7dJVZ z=fEs(JM;kwB^Z>Pv~iE(wSaZQ?) zEblWnre<1dd1SzqECV5nOUgk*6`)z1(x^CrRD&{?FK^F?At{ZEWhND>Rg0oGPEZlP zKDNKD2YwKQ-LLOy%FJTeA`xA@Tw6vKkUeixxR{z9Bm{TL?y3nin1N}!#4Z%1eC zVqxQYODysRzmH%cYn{3&ct1NPbR&KzdTa_!AR;_&+zs&po*K8Mbn!*A081|_1qEI?4RlJDJJX0w+R@WT2+a^^kZq>GUL{YDG zA$v7NYQ?09ihasAIwY3$setX)q(bfKCih+Ry75)uY>U@1wcXsTqDavU2}_bX-FI*_E^6r9@89WG@Xu{U|V&1dSRjjvxnv*}0F z&AaieE_0Eu*tUzbs@$Mi97R2};cSp?jQzq9OzQg=Lnoaiu=oZM=MnKhjw;CgeT>w)4vB_kVzO7<`?LWGY;cFQilz#Y?Y=>2V6-5ge)#8$FPp=S(9xC`!r64sH7T{j6-8= z4M{^QNkS=fKrNcZ)hS`e8;mrc6gmE1&d2h!KbV%KEnMh)99NW&-5}CFGA;6Jx39O3 zy>MARM*e)stXDzw2;e*xm=u?-OWp4@WN&!0<21k>%U`w{U`EtUNy(cX^!hqDnt2u{ zL%ETVl&q+0B=^&X5ZvyIdi;&pUyEK~_e;w@4;|^RP-EV0-D1!Vs>Pe$F$v@cOhX)h zV}iZNVnXtHCU>{BDW~$XbyyInaxJ5f0UBvnU zt>ci29u?!JflXL0%UTGzPA}+0&2c0=NziS`51939lHlNrqSuFp>x6d(iXkbAFyZtf zu?9xCPIzrd=S+h!pmd;M;3P8`5jQlFz&()S*_wg@eln02Xbf$^$y|evVdtb+wOX2o zo*bYb5)AsH^E|r?MqkSgO7I~IyDlTo<{R$v7yVvabzHspET;4=6oY?u4XBn!y8~O2 zob1jmxEfn!pNqzkKMBziGg?A(q>=|o(?H4tcpN>`W0KTP2GcK&U?RaLyQB#ek-41Z zbd@{bIieN`^5>hv7V>8X>}1U<#-hn;gf_!YR|@KU>sfqg@Geqhr{r3=c?(}b=-z~L zm~ajWT)Jj}DGRcR;BKp&!0GXLkhX&8@hEKS{~~v;%_sUWBI^b2T|VP!tmZag!Qs0C z@VsZfeWlzU$E^~@K4_Sz0mhJt-eRpSeuPXzfsi(DC5z>zfXReNsRBcW0OfUNx7;FO z!6IS2eg!tUw)5s?+@m5PHPQ`c@qu)l!7O6#CLFzUwM8mK&-Ybm?e^WYteuR#Gk8SAf3{Trii8MukecNV`p?Le!KzS+S6= zQ6)o}v<8-$REX&+=-m~k+28I2ymFHe?|{W+tK}ylU*O7v7~{dPtd1cGV7;H6v*=mUyUNlAN5 z7cC73+Ao8{WyDiQ8#H?#qXp-((_V`{vK81Bhe@ty**^D0T_085!ewjp8K1!!Pkx+s zLL)YQL;&#;>4cqWG1BV!`xIWbRu95i$wrjC58W$uA0f%QF=#kxEbN$i`q|O?TuFiV z;T5<%0Y5q=8TZ{Bo2&_qx?Yd&^g``aWW-)GytPOgi|1q&S2dVzcIE=AN$n#!J}9Me z0x&LUuD*3-PpXi040s+C>tw)6WLq6*SjF(RD>QtAe&AdLf*bNkWP-1f$XwqH*oO(~ zwskk^2XCsHb#r_W#2HI8I~i|PjgZ5OIgV|#$8yke^0uh9TFN9mvHH)=n?!_r6CkV% zp2aVLDSHSg_{($V<{7}8D-)LA?^ z9cP7foiRwWlKOUMvOL}lEsMRG2HyFA;}v1HxLdPDzA((WDwnQm!o2B@mN5nGJOSIv z^(wA>(d89t&w=6{Gr8>clhq%IPlufW8@Z|u&_*ION3pr|_LJe)cIMnQLXTdQ5cFp> z&$1{lgdgo?g3DIpAE^S_b}z=Dd;+QC5e|RXt?_Ova#x2R58oS`Sf1fdqJgP^828=n zZrK-pW7qvyh73JlFbe&mAH)&ynZ0uR)TRdMwD%Cj+w%7iJr2deX$Sy~iZbL%DvM>9 zsDdsS44EKZ82B;`1p=#*8L`Mn0Yjm7XO8%C=)#@>i(Ynb!EATqM~xi*GrVCIm#rGV zBM2?H?4Q1AHn?{k?61E#!sD;eZ0A;pZmeur4c0<)u8ycV$R;+RtkG3LJqbS0tb#Ua8~O69!{#Fwz}bI(wSTtt_^Wn_z72v8{DG0B$Kkb4?^)q1-O7PQ{}V|4 z%Db)Dofy8qbP0`0`y0#9@Elp?vDFe|xM|?CW?5~?IOr)_tieKUr9d46x=JNViLFxV zwumoge0|%pwnA7Nk@(w#NZ`Mi@4ir zgF{!%N$0n~@I-Sa-b|l^Lw+Ri?C2A7*gUBnwx&iKUy~&KGq`jsG<_xSdjYj)JvCdF zYz$xvIIUo%+blUCC1vSmW!hJ8B_!Ey1#8kc31w2Yt2UTgV$Ti`{0?&n3%~?-Vmt@0 zlXIxb&1;~5x8ms>Z(c2u+5Lk|!2!+u2lnlQX>DJ2sN_kNW~jyrq=l#OtAcgMz^Qec zXSH&b6W$&q7-daW&o%_q^uD-Y*+{u=4#!APsv?v>aFAt_+$ zJ|odi2@DTu&A>_&P*I4J98M$}axD`BG-HVY8Fxx|B09`&IcA3*u~Cl?E%wl**fCy) zuN$E5Rs1sG%!kQx<0R}j%$`@X2S#ehZbN?!tyL`OtXjn*@sB4gK5|9=*vv>5A(JR( zn^XMYbHRdOE;(%w+(>b_k9$S!D4u_-){q2M(JWej|^no7TFF zIX;dSwZT+!hFZxoCWF1aMkD9&NjRFU4fZ)W@;xB@^-o~h;SzZK?jT`%BNi8Y6tTr+ zt9Egf5-bGr=6D7N+jrsi&Xb5e*0WW1Rxv8t!ni-x-EF3hpOYw0Z5Q@mf2Nr^{=RCJ zIU$ov_a!myTn@&X(F_c^lFR~|4Mjo-YG%Z-7BCcQKc2=S z(KKvFQA5Iiym$e9uxIwXw@L8gn;W8czH~umR`&YNgqwBoy-RZZ$Y(Bz;*_mZY+hqy&_ zhN=SmvRYAZB^*Ri3I#|?=5!TQ)#}zp{l`(1hO?3*Jz&F-BVXS30LBR|eZ?FkYXxu# zn)o*_70h0W@;r&BPkB8%`y)y4*RGzEM{p<3{`rno^J{pZ?%!iBN?&kDf)8CQk)f&Q z;DWXiLM!s4=-To7tBR~i6jPsZfG&M7x6CL5mg%S8nGR9f{ zL_tL(F;yyi6?Y?7#tytMaVYaL#pi82_%~K26;Im)PN#pQZz%+YlB6hPllYrIgSvTL3CSlC$7#gKA^%H7&CbePHgx`lK5Z$Z(L?t2WZ7$@z z*)6gH7IjCwHr_*!awPlmT^hF;e#eMi^|Bs6mbvvZF6A3*A9BpBt;;_lzt%NZpU)L6 z{@5DU%U27jXSs5P`<8TX@cC~cqz^Qjt*yfNeayF!&GXK~`$guxFEL=VUXaCmvi$`+;BJxU54`8o+K_1JW1BxoD^>a7dl zgYV$ig6EwF*xT7YD`2_#i9lxUCuL{!2nMyzE@h+Qd!L$}EBj?{yV^UqA3uIxt3?>p zx?t)uPK*yxS(I_i_BFgkvBXalPnjU#)6aF!-oDqvDd#oi3is|&V~)oVa_2*M7*omv!&7Z_}+z*Utm z6U>7}#)f8Jj4#^+AA=^>U7ioD;s;p9Z}AA7&9JZj5jG9!;`_XkUdsYg1s12;5hH67 z&d~DOW^j#gO0QB_qz_7^Uk54jZ9iAp1~#{^8#CrZ02bNZDnJEp1u!=G4q=E4n)BRQ zn=;|5@5gP**o1!L0}_O7ygh=^F?uzr~$381hC~-VuUV(4X&Ct zk70OEo)t*ZqxM=L6mtdA$P7w026*R22(V(2a45Izz*6j3Thf|)v*WVAspo(5 z*%}6mloGIZxK-|Uiubw})ALYt&?(V%WvTv}Y zP5>%r=KF21oo2v>rpys*m~f?Lq67?S3iIL{p=lqFVB5g^mzb_DfG)1MT*B?qxP*J1m__5xZAq&)Q&*z z+J7*aMy8sPV50e*5;dRLDXMozGIOPp^at+%+o!g1a2<;~ z>Y)`ptlmCIpBW_a!X~$^*nHw&;$rtATtFSaL8c^Jh5Pxs;#j`EVhf^8xeeFE+X2R= z2#oP|AROKxrrCf_H!L17^-a!pi@XN{bRvsWUv_4T>lW97O~i(RSOi3;$52ID_F9kv zocwTK4_<>l@IlNYTU;v{FLEnl8&CCXSgh4hs*SSH+k*ZAB}%rfZ(D_+P}@n4D2}7$ zH+Y1#(2y;I7-91Glq#Z{BlPd!@7k^N>Va(-yTb~)lJmIQZ}s^bh-M* z7A*&w(xP19&`RZ;mCm`;A`9?lwIGxf9@HF*)2p;s3Y)01B?tCBb3_coTZb>(oHwK} z;LlZLu$10-Qng`b%M5y2Vs;ieLk!&nXfbT8*{rH`h#_GSzb<}gNjO^y)_O(m5X-KR z1)qqK&<^BbRLxQ;t+bNs(hE#P4G4KcNX(icu4aS``KTl_TZqO03)#y7MHK8>r?oo%R_Gb|u;~_lf)h|erlCN%29(T*V=Z8ukQ1-kq-F~afDo5&DuwwDJi`Rb z!wM_whCx%>IK;ZTWwO&I>2si#!~cxCL*PIaLinlaYDozixdvwX>t<8dS`HcQGukKz zL~X#8qudeJ-~(x%76E)ehy*GYl+|^p!F} zF2h76;M+2WOsp@hTl@%_h5{k2ypqLo6GFV-EJZ2+aWG`z^?qzDbx}8R9i}--a(TET zkc810bR|m!x?zHcBixh4unZteB`kp>f`luuK_>CHBI#9a-S~L&`|GL0Mpi#40U}4G<|tRy_()R)}NP?q0NbgKIq60ovOC3MAE0=8B;4BI@R?Y7xw zgDbKLjW#xdPVKs}a=(lYiaGO{O=cKc*H4UK1u=3;L`_&)THujR z#6Wu)NBFGZZR)2?t%A6K;avjV95+5tH5KjFyMcPlh-@K_4sM3iR}s0^`4KOBqR>6y z@iHsX=Xb(oT@IbKzy`~B3@?`J<$Sp$j?~=f#JV#W?(;pvwV5y8%3FgIfs=}>Wf52+ zR#Wk-1xhZ~$uN<0qD(`9z|vWAxoVR&k5LM3Zk;Lsc4GgF#N0)jkpc(DlgC{H> zZD0hyUgE>9o8wKW&w~a#7g~yEb=zrWJ;T&+$Y>U-t%STQT?A7J!-Vq#_Li9%;O_>o zE?KdV9r0o?Y!Ah1W~J>i;%5aS>2h|B|j5X;gd zApgm8oAH4|nEw7uLw4blkaEr6K=%LIA%|xEV8tn@++!NXH_uiT~-x7jAx`aCD2J%-qALo2J_wpE;Y z!8AlQN|H<@%zxDaU>%pzKLm?`jBXhvV@Y8UI4kk0x{2t;jIY90;rQ&{-K zerenr4p9uuLU-8P3eYqIr~BIwB{gEuM7LT}YY?aa0Rsr}y48r5kf9`xLFR8shrNTA^EVj8 ziA55xnwDr|t=+=1SGM=OC0X`2mH`iYK7Sa4wZb>e4t+*?aa%Ob08R#_=XQ}iS{XA@vfDA;-wNC zeQF_{Kg8)BLF*XK1Q>m95?B91#U}4Yk`J!S-0w}ARN^HWyJm81t6BO*JvDJ;eeK8@ zXy_NOi7rb1BQtCcyC0MIgZJW54`AK`$O_}X)6Lv=6v8IM;pa8gn|)IH>x`5l=#iB% zJ35%T4hIp%VEdE|%u6TbaCao+2)Xnc*m`rYd~{b*Bc%+K47+eP8l!FC$C%<<#OVh1 zcJ8`NYuBAF6w$|ahu7XQoy7YpdZ>zOSn$gX=X)XhPb!xufBFJEcWIE%6c~hCx*(`n~5c_>Rj$D}q zOF|Trsnt^mL3MQ~X?4%#uA&M=@iTuv2NQ!QV7o_Oh=sThVAu*H@Kt}PY*$@w9LKiZ zUq`+l7?((=WD(>!xn>gNgU*)=Ac_E%JlGVuNgCXp#&2s7_H00tO>9oh!F)U?=98C0 zmwUFz!IY<#2V$8xh2UI|uwG0LOA#Vm>B(0g_9}76OHZjX9B>ALv6Opz<7W7loNpPG zL0GX*M;vKTyba}b&#i~0&_t+)6AM*mS8_Qs*_OLL#ppu>HF4N7XUlv(hZ{-464DaUP+F0L0r1ds zGpWg8A@;UVK9nieArxrf^AC>DajB2M7BiO0BAg>kQcg;;mn_=^ufCqrS|TLY{tT`^ z`We95mN;TedDaxJtvV;XudBD({dr&Ean!g zNCr^i=1VXclJA_(Vs?OxlgRxgL^qg(19;8ay8jd&FiN*pYQh8x;?|WZ2;SV7`w~bfRLvItsN;=WSs<52 zMkc0{+m-FlEsm^x#=6{-y;^!pg4gBHXKp>iIMR9@n2!f9lFtT92#f6$x5)LJ zR44`g+26F_*y&DcXGGZ8e+2?q(3&tD>Ap2=@b@!OSUr6!`Wg2tW2Avu$P7P+Uol|c zwvhvcHRYy)0hG|BBS&B%R$>{6Z=ZUnrzlq_M zDm1B}p8o}4Nm%{?kg`&f@7|isPyZkkd+*hMQ3QrOC>c6dft#T-2{}SOOAUtWvHJ27-b^inPPDtQ>8Ya)D1HAC2`V16H_(hNExXWM;PP2wo34K?kM{aY(5LU3(>HKY^D!#toYPzgsf$&C2M!yxfVs zs&N#kxZZq2%$2%Qi0t*?*{JLrd2Dwuv2JNovw^dF;w9S>dd+zoZo%%rTN@$_bN_6B zdM6Q3XLq8)@XQWru)|V&R{dArGByW?;>Wh4fu9EzEQZctzOah7jq#@((qN@dWae_u zfaojYTCVLWxjD2B76x2xorYioJ5nFaapz%HFzP_Ur2a^KrEMAgx7pt07MFH`(iy|2f%b~6CzpNW{78&T~QO- zvp$6pr}{z_v5bwDGxllWNajTEpM!3F5k4Yw5#0XOCm0-tY?o|>VK8cijo*VErNf$l z=bWazQxe~sM&ECtWY|h78+{D|EX;Dv9PfhRuR!F1WD3o@#LAiR0-xgg{U? zbC4KnAkX!!7%AR-jSr=a3K^C$`rPTaW;GQ3Wbb<&F=NIUoAkcCjGDZPnW z3>QAQHFU%QZVH|)^R!D<<}JUwGM2ywaO})L+fLws*f-J11>uC|l(0$bxG+Q^i^@MN zc5vRo&tn{YH8!bzXcb5GXJQ_kS(NWS7v{TOl98t)45`itmNsU+1Xv#@bIEzZ7D;)z z-sc$Ly8N`ep^! zw0zUVM$a%{WMY~e9qnXyB;*LJKT58U7qaFsKG5yE>RT!xd3zvk5cLbc&*>gOJK95^ z_?Wcr8VzLc`;ne61nFAa{trsj`u63a{Ffx0nLZXpcKEEQ@x+s1P_OL{+5 zWT;i%wVNaCI35+fc1m3Ju>ez7CSSwG=IQTAsK_4T1TZ}lDs^BwDrG~mMN^!TR$>`w zu+BW0Y(>zcN@&3I`zsUF;qd5^i;|v87W^FvIYQ3H#&9_d11-IOmn@M|1`A|(>uq>c zes!}9KJUhOhO4c9_weHn1-@-sequ(@Da8}@y?f_mjKkLF-y!kG-m6UNW`da?-o!!0b^&%Ls(Mk>(oYn^$nEI2quh+*Cq@at%5 zg`S@5E0E(oIwNZdXs@i25C!BGQ+#T{Ek&g>{fO52SZmx)_!6%(`kguC?gTVx7`EEK zf_(vtn3ceo^+HSxKUY-Vsb}0S(IXeyKCGtwu^kE4#>2(t!9~woc-fEAp)Yjna!VM= zCqlbcUPS|Hun}l5zqd;lzAu|x$J6qj5kShiVn699-APO66##>jf!ky-m@mx|kWT7z zRW`g+V3cX;Vi9AQI&zDa}JcV)b24MawrVb8oZj=&N zhbInI84H=CXjB~TVb};XaagQ?2MxEs7F{Oz;hQAHYtepyg;rcv$R8j^-cfA{k)h6V zu{UYI98cs)fh!F?!;GCWuu~#w)2d$GyQB@E4nl{62;0HCU+dzwf79g|7W#GC%(98LW!qhY+*u zzj8oBXXIkUj*hncj)WY6MMufSNIWYst*=+ocF+gHSYd(GLSVGv%Q3BscUzCw(8p@v zqYD5(*12mJ>f>!$dg3sO;n8;>%x9mgt?Gs>{OS6xW_bT)VfeF;->&ktk4ZfC0XI8d z_C&8nn1jG->yItmA+w8TCQ@7Xu}0^fHnkcb)jAWNyy~k}YN_sBk@OLES2)Xnc!)2I=#UTq+rd~$p zbaEF81FSi{YSL<)Pf7Tu=Zv(zii!KT9tzB>X(XBpS6>vF!>U{Kx2Iu8+fgU2=E0TV zEAN<*L*Iz5Z~gITB2%wQcd1dxKyZ8Qh<;$pxfaE5q;4A0LNbTaZypkts@w}H2%<(*mQlLKoyF-WS@Q7(}Bl^pS_h8`i zg2-=Cq>zDd2__vk#t|6%$k{W5pxiP7b6N~c z%{E}0?Awrh3RpvhkOeg20eiMBcwl2S43C!KNWB=r2IwU(p*8Sth$^0h3s_u*9a2b+ zg@R4KQf;!d7eg|k^H{1~!2=*Gcv<0T?78h8h_}<5Nfk=dTq(AB_NH`uPjIskcnjeI9+%7gr{sl%c%Lc1Hnm zL)xG_RIfWL^u3h67}v%+S|~u^RIBJ7-vf$?%NPVklsbMezRY7ElRXOMua3%s!|sT1 z4Q9a95Z7VnX_FGz7uh^m!oWGawi^3&xhfFUnM2vZ%_o5OF>^>3XLps}?EurfO&D#D z+qW6)hs*BVHqDCUctcjCaRau6`l3|_l9K>{y7EfUHlxF8T}X9Vh}Tl*fxpVvEiVQ; zP(xYt#sNnGgmwrctMv#4B@&xavf|Rh?fs*Wjl1m2jPg>EE8wrr4Y{qqT{00Qq@3*m z3)U%w*qywv>GevdtTidlcqxQT1M0mEkTulBc`&b314!jJuy)?_{LE!6>Og(h~ zSiA<+I2Hu+oSbZ22FerMM|>Iz#;ptGX0qU92e#WPV$*M9w&~gGQX&`~i@f1i4p?e2a#4wnj&@n?NXQYG zZ6%k_6IqFA9k1l0H6V*&()nm7?r^Au@g5E;D9z6PyV1tVi$03C0M( zw(*(?ze{z2Y|PvGCvkGq#Gf&@m@^@!MipjOFsmIs;r7Yj0=OG=hk*b$a6t#jFy$YkF zR%LPFzaDf!s&ZS$42ndT3BDAHSTSt12OC(<#b;Yq959`{DLpw&bt|MmXAD;YoXA8j z$(32qmnBBGeJEAMa3WLv49Dxa(g0O?JCc1vrHWyzF*g`TqFY4AE{riQWvMw&u`G=? z3eP^dZy#QV#OVX_l$qECxI7=VNXPVgJ_+bfYgw z4kly`SfL5wNdftx*5i)@=|KbEIv6UsvfDJX4@)qH2S9`i+F%5w-HQPtE9h*aBqVs8 zr>aCuO+=)OsGV*Mwm8XO1xE4ffa|!n>6qUpe+m1XpePoD<;k_YcZWXTWi1S=DOIuS zS3^>!Gqk;*puuy>j*6QFJK@Wm$901=XQSV48|T9 z5j=wZj$_D={fl|%TmS-Fh6xd;kHMkdYNSXHIt_iGya43`Y2Nz`_}r<9BPYg6Wtw36 zKw%4V!dOt_g5qZQDVDg^!O?*ua4ESsXVH=>$GQHwBSvf_p_hU8q)H%8Ho)OKN>$WS zgi>*kJpy+s&@aE5Atgqcz68Km1g?nqPnuTUek-Fi2;lbghU-GxrO+wt_VZLL3@NK# zzlk`tjN_WNqb}m)_gNUQ+Uj3nZxaUGG<|xahc$b9AZIAq`~}MmhzOB2(O_7B2`>vl zyMhd9xl3LBuC5>Qhl{gv@liQT8OLX^2bldBv+z#DkJ&XvoN>!bS!I^MKZEF6U!yF z^K8C>sfqay_-TaVNDW$9ey;>eh8c;>agcst$zI_ko@ph)HNmeW*QA?xKVWhvXD+_W6H@=!lSj>9X= zYZsL3x6N$3@KAdRN*`Xzpo;wRXA>_w*4f#-W(4&n2;7MYaq?Iihm-Gw$sG@%<=t3B zzveP*KUx@*8?f5?${}KnuRVsD4LQ79rkz8n-;ayTM|>s3#_LXQYOIDXoS(w5HIZX) zz;uNUAr&%;!HUV-rIw59%;k28-hM{Xt|>(*FUHGopuVSs4kx>_GKal`{vH;6A+Tkz6R%c!)O^BRo4LxQ9ceJrb`WqiYlla1Jk5 zzDJ}E;Sdz!JX?&Y5_F`Trz7fZL9W#uq7B}baH?hdu+2bCZ;o~}X?Aj%>Yo6EP7{R^ zHB`srepp#&1K4cL#@sRtCZSuTpv4xf338gO+v&H6C)n6aqE_ zgKiXbZu*?bt}6#<3vppHs|n1$zfl+d)dz?Xu#!^EeQ4 zeajQ5S}HBoRU?#DqjSldEDZ&vqZR|uA%z9-*hSUkc9+26S?ajqpYSMb0^rP(`C)6* z(O(GI^c~3gED#A|ltnV9Vptuq%ME_T50PntN*clKG6uK{A+PJauiv9CCu}z7EAy*qGyqVe4cWoO;7!NxR0pBZ^K%RoNbPz6Zjqdyg0cO%VI>tOKYMZhT|3tCM`A@ zeQHIL!{?zed;}WD`Z?E1r{z6clEARNV9l_;4{tgLGgJD@k{N7FPD@NUY&|g*n_)sy zkG(ypwOU~`B`dvBwvG96g0@?%OxF97oj5|N1*DIWUmmiddwqO zDg~yR3q-aez>p@Azq~b;_ud}_pSy!hciean7AHG}`|2Whu z7Ezs&r^BH27`A_|&PcP~YRK-tc%!Y)e?WbYib9GYKK8Rx)qD5a^*?@wOP`u3EsfWN z^E|8RD>sLM`%k~*cpQpAay=(j!|^7PY+l&b%y@CIQ%jerMnbz%Iz)( zjzN23E0d>W$R!K@o;ZwLIUDCNm7PWV(F38pH1EgNj@g?#K7Yo|28mldoHxJNyc%BZ1|B@ooLZ&5`){ zg?l8~dkX`^Jd$YztF7*m0PRjNJ%>cxt_fCK)sdkmZ@KbImTC_R`&ovc*O`|<&tPzQ zA1XqX=8Pp@C5U~0ZbEjZ~F*&HMplSjx~2b(WoygIz+T{5R9lyTI0B!pT_DC zQ;Z|b0u#9$qMXd&NP1wM47oQAfeK*=vqt>{^hNe#d`57s@>r^5sb0w&TWKRtioZQ|xTh0}fW{PLk7T+X@-1vvj=1 zaD%|7j9JLZYIFDCtAoUJ@YnT842VjGOn*jCgrB1$i=-E$Br{Msw4<$I=FmdS6i!i@ zh?c^~RiRg?7n67LE^9}NXK0#d&jJrrOcv}x#jlB9IY7L_n|<<}I^LWDyW;6gc$Y=U z8wiYq2TID6Vu&kv`11LXQ?-wlfqE38#17UOsRKbrkN^NP*NKh@0vACJ;nyN{sKSj3FnQs=;G&dF#;>q-`psm1(_KXJjh` zsBeP|nR30X!VrZ-l#(9>{D`7G{G-V0P;u6V;yO7dxBcKOsBLh*9%jA)clH4Ieuao5 z2ZP7n#Tv?~z?5JlupT?sP;6uHT_^#1}#0C$8#bLCfU(L)K0$7T^*P z7zw)#>2@bFrtzn)onGsu0SC5lRDd!#^GhzHrxehSH!^h;LpgwsgdCyM?9(8rF%VoC zDKYIp3{nCZ)fgjSs{0LyEXjvJjO(8T-KDuW0sR?f{Ov-1 zj5j|ap}ZHZ<$A?={uiQouQiaA@g*GD&#x`KTQSJldLC}E?7q}EdglU*-^l>9zydEy zAyC+GKt>eaw5dQ{At*0B1V?NZiI6piQ6RP%?Nex>TIkg8y%Cv5Q@a886@P4U=o6S0 zBw_Vcaoznx1=D#4+#2)tmdAfylGmMs2i8-ZLEI&GMh6pdHwE18Pzvfkh3|p}d*h4Z z6HBd!kK;uz0Y zuF}&6K9>`n?Feb|7Emv*Y3Q@oK z}&JY()DkiA}a>{ij%+Cb*#GYZ2y)`9a#lqzMGg#w98^td_626ZUR=$i0SuEsC z`4#o~GhnPsWp4Z)Ob}HosGm;W6*u|5IF7psS`0KII`h2*udJ5CJ@T@+71l1)$>tkj zt@$WmSy-A{i<&qlHGb~`e5Xk;fAlnc_q{i)z!%V{Wq|TG07hDvXGUWGM*;3Xnqy`w z@|&m++SVRFsvA)aEW9)Rk-kszP$GQ5L*>D}VLWkV z+?+V`HA~5H31-=6n9r${3b_YAuG&V9!NGC|b^)i8C4+TF*1@2GBQaC1($faxopy0_ zkYgywiSpX*lF*YSqfBr7o#vpOsNi{tTyz90*nfj9dVFmTaQv@d0EJ!XWa4JL$$ES| z)N`-2)#N^jZ2h$P_=yW(z^`K&=W7?s0*0-wzJY1}`wmp9r+;!@R@+6=OX_>E=Kg)( zi0pAYbN0`tW-wklkt(Tj0IN6Oa4SEzbf!dm&qdf>XN0xu%tZ$GR8FOX72?2~w@X_? zIyHt#*y*ygMur+Qx?Ur%c3uGJ|W^g!zF6sQtaryR$h8yPY3vW(iMeP4N zcmUP%wIgFu@|3G47`BeIV01nhb^_KNXlbF_ck*r)fM+Spr&V6Xp@fH>(rl>)mPMKF z1;468R})s|1Hr8T%fAE7?A0@aUA}?*ZhLEu{7KK4;4xN@U_0tWI!i}OBQifwe!kLI* z;RZaj<|}Fv%3b)AaD|U?dBh?(=7JfR8={7SxQ6lV3StCz71CPX&Mqw*X$uOm z3D@&6bVexxpN-(s0fmddQw(l^JR5*7MN^L_2NOHary*j#tL9(IpoYUHE~t;jE5?lb zFl=2iB$25cQ&c1e8#gdSHGCW;)(|>I9d2SYD$bjT0S7_kqBYRa*W_CYc7xwwbM@=^p!b)qt(c9lv#4Jq~Cf zO*$z(!h6_(V1#7k-S89Wji9{r5FD{tBoSFXX69nucC<+f-uAad&uU_7KF2zw}yOWrcFQm#DA z0l(}!W8xk>hy>p#a`m5m1Wm8BK5x5CU&eP;wOl`|mkXG$p|C(nH7@pO2%oIILrHLwB?c zTMX@JgNqi!bWxQv5CF$<l%&h{OPCQ} z{68?1#`{%bl$pz0&c;*ySbB>Ag%m@vu;Yt?M;?O}XA~?KqBn z5~SqQc-nmP3@7pFs6&^y2&0iWv5S(4@D{A2*>w0YUv;ymot@jfK-B#KUr8?oReQi% z;kREaZ+3elm2}t|CuiZ+jZ399u@T7OV{fQvyfKzqG?VE{MHet=CZ&Mq*+Q~TT1Q2P zQR$Y1v(&>b>R?02N>7>+ffo&WOr_$J2pBhd!XnVoL-0&ti%i;#EQ2)(uylmwQl}6y z#B)%BCdM`MIC(U@1c8qssuf{vOri?zjx`sAsb(P4Y5IVW8D5IPb(6K*le)_v(Y;|# z1{0i2;C9$)`G|k&Y(o^H^)cfT$L1ePFb@>06tXW00=)VSg4SWL5Grr6*{@Lmadu zm0Qt>uT@xf46CgV3ToyNchJ@JnxGLj|D`Mh;c2wAC@();Fr=X$1inn6p!r9Il4WQ` zlhKz{hJrQ;%1aNy5t~Kg$m%gO*lNoMk>a>~MHZrWXA}6O~H1+dhSAGD>7=3;u$LD5b9=Y{xzEXCkkbCIq zWIt1g5}UCYJsHc@^Yj&hrK-%y67xa)H5294pI!>(ZQ4o6$7BHFlf3z8 zi75jw9eaW6pT-{J-RY@C#}D5g$uEsLop?w@e*=r4FPXre-lqjQJP^0Ae^;9?K`r~* z#V#2;ic=n%m|OhfFQW%_Uz+6NNOcK|s*trjwXif*Rhjt$6M@rgvDTY>V=`=f4GqU1 zyvhao{G+wxt+wWj8O!C34UICI9)&n!+d9()dNLMK@ zgk9|w6Mv}7r}p5LY0eA+R6M1YBm(ws7dJaB>gD=G{ zVYPKyniCQo#U|nAA0-RaXdxU8WZAteo|||rS8N=1rT#Q$VvVi+3vtY}3eK9rO9O1Y z&_ipX+z8IFD3m!2Q8@)w2tKAlj%^WbTiio4YYC@qp`&25hl$WK!4F$S9Nvp_ag%ib zy(M!{w?WZhSVIj`dbrm(UT!-LsoULO)X5i}{&G(eYeBbw`Jq!8IBGRSlZ9z^h`?%Vfb%KC z$-p^y1E~{P!38t$a}RH7*lTJ6#%71v$(3L*xQjs*hC@#nwnKxkjE`rVZ#5l`Q)Ebm zlr_cV21=8Hgd&xI!PDUD1?xq%G1^Uui|pUcc*@+=OA$I#&;3uv_!il?emR8_3@WUe*OI`WArQSV0kE zwYEeWMY33~;O87JCkOLG1s`H)Jd~X6FzGg!Ef|XVc2O!4ywIZK(rE`2wrlyBAfO

#h`bd{+fvbu4(%{qoeU*8irf%Yv%*SI!Bak8{RYZjG7(TgKQb6BFuDENL*C4teJiMt$D ztt`;2uxW_^}$41DiH7GPL0*%m+Yu0l}9dqlW}XM;1v36J{7C z*+)xE5nL3R``x#zJf{_g7Tf{pxA`E-KyRLo;zP%Tg-Nam5a`wbHDY(o_5L^XaKa3Ek|0G;0KpSkzTW@IVbM$?M6aK1qR%M z^Y5R=`_t$ryPQgMngZ9RG^K~x?_r4@Nd;h_InuL~DLtt-)k9AGDY4*+6h}ExNLEfl z-z+`oRoFKNa^AQmN|8l?17qzY#8^lQU}n}laaM(zm%By0Z%1rt)0AiUzGlX2}^0jgIC80b)WY>#3@#4MaAlYEUz z$FYm|+NMM+EsXaz^M+^}6iJMuW2>fm4cM2((awcnVtr&41}P-=>oNI!6^1IZ8oEN| z0!A>2` zX_>Lq)AGl|z>N;EOA=qcQVPmbmbeV@L%h3aTf)mUY(q#JhGz&d-7L1iS_o^kk%t^= zOa@pKtC%;p%{m8c0Q=%!-Bn}>RSx240h9#nXyEZwSW+jb^S7c4rmpb$50|v#GE~;E z<~_RR!@+HJx>(pyN;VTkWHCV6&SBnfpxt@+orFA@^bUw`f%s%GldMqZ78RnA1%SX7 z)U>z<(kn%frFTmPw$4rs?!w7KkZ)(;O;`XRhkxHcm5wez5@ zzkmTY0@dcHOoFf<$uLAV(^iIzRI1@8P$EHj=^;2E z*&?P&AuBM-(%y+y8K@MNOyu=%!O4YR4cS)_`IV;QO(}8r+ZXV?$C1$P-RlG|uHK7p zMOs|JQ9tuXWlrBwk;&bk!^8JWkv!ZwEpbbi$>e!YG#I`ZR6^Hm26)rsfO2%IPcHjG zs{7^z>ikC5>-Wk?{C!ASeTB+Ojz#=GfH96uNHOy6-BwuYDcNk$471P+Ac zyV0`MUTQJ)wC~U~&yT>O?Z=%|?|=ev?vvdA8m#I78^7}7ICuoqyh;&snq&s-$v$;v zTUiX8B>-W)vKS9#?EpGGjva*U7i3)8vqSxe9UL-0_1TvPmG(C+nj$Y)?hsozmI-UB z)AFeoVj1=qv7mD)CXZ{oq;BJQs$Q(f>O;1dIgXC;=)8(07_YEAmw;k%_2uBH`K39* zmy4o0yb}|bq9WoYTE3eh8~-^S05^2w_KrN)aSi9t92=w-!+^yn*FTi9L=}Mzql_W} zpy?L+{d(yG@ibhH}Yz_2i@LgYo#sd@s-gaqXk70&%6qO{Mg9x#wk(eF9c6GL+NVXTKQ*QQ8?2~gL zB_ySeAJYP;QT8(hdP*bmS;ae-7RlC-DGFaQKE-75vj|UwXMh>y}wzO1S@0c zq4ySp9A?TNY||5}7cnd6piZ1n*l140KH#*?6SY1cVQvCDIX&WYxS}JIG-pv^XR+?G zhPtE&P_d6m6t^x=9up~JUm9DvCYO-5wA?K=?AIT$%?2`h1MB7Oa$9h)-3Ft~+&tzO zN`s?6#=EG$mR>B!kt^9OM>_;oG0LI{#ZO8zNha10GImHYeFrD*b7`(*tq2>ZlLfP+%mbiar|_&&j%!U%u-!GXZ zdz?>jb)0z@`Gfc3y?};D>)bcRvX{pOz3w>nuzghw$So?(RhwLGaO9=H9+p*7ioyD9 zSAZs;4bUzU=(Y}#n)u1rqgMQp!-)YTU-XUxv~{V=uvRl+9d zb}{zYqupIXL#%>fYht@3QZZE)ty1{kp!zTSPjPc;@1u;i`k9q*q{Wbm=drhZXmitb1AxTS%VofFj8{csd(?-8J7HM%PFT!Y0je$y ztt+w2*OeZOR2`-y=yXT0LEQ7*1@@`5ZWudM<$$>?vy2Ve!Bhup<8>$(EuurY)xj775-F*7EUrB8amVsa#vY;ejl5v?#OlDi1Zh_Ab?BgdMB?kug z!z%AP*^8}|N|@zFxgkNz`I2TiPk)Yj4&7Eq8&{e{*b*TyI-Pb15+}I2ED%K*e*Wrs z5AcJHVOAIfwotCNwt&EFQ?l;haDn3oV9UW|9rA7W98zGExbDMD1rQ5qfI4h?JsnL% z>|`ilk~g7uYI<#xIKag$4hwvZq!_H2yhEy%g){6e!JFUQ#SitOVlY5nH-7eqgWwce zxHVH}jhVvPX>uJ+>`zUEH%=x??dt8;j)w8VtfA{tsCt~-;dnQ^T9Ba6mWMQ08|U)0 zXUyk(`FqBq+eSn>n%wOox&L*6I8GzH#GA+qu;)0HZ*pc3AISE-rHuxxKQSZnN-#99 z#ig;bh`D_ed9C0O54kXE&Z3$P0dcYikJB4d&S)Y@%l)UuWWs-#`(nIQ@xQR}P&1(MRy2 z;>xrnr`ztyRaB|@cl|bOy@5pJ_90PHFEnQ!4`$^fcRK1x-;EB`D9Xj%FLToTW)LS_ z5nTJQ!({O*B>01A^p`PQ^QKqIYHP|G^zHU=qr}-8qt5ZGEM$HV(locqJYloD!gnx8hyq>wfThX}x=7 zUMBu%w(0(H(i#DlVlKL6GQ9E+D>6FzT$O7#!0SaYPysLtjS5CkUQZ(tr&2VW4yMt` zIBbmcoTFHl+r3||xz5JXO#^hRG*@jpwP6?*N-Bb!a$UyRFHdb7Wh{N~{lfKS+y-*4 zoL7@4FNeBttdfV;#oBh^VY$n72qU#S!F2;@hoOJyS@foJU9j?y+^6r9`S2U;K)xtZ z`)m>_xQ2uYmRQKc>@ai`N3&L&fkjhz2XEMk(K=z8Vov&+Mz?J-E-g}9!(kuxj{tO- zICWg2Dhnt9_sr@2*e&L~qsD;@Tzq39T45uyOj}?bz@Vvl^pQdq%Q|_u<~kHK5ej7` z1?O*Evjw1s=5U*Yj~#3cPmTWY+%JbT1$n-lQZ`At=`416#;}-Y5Y>mx5l4o2$f=Vh z3`^31wY%DXYbI^>eYK$-2?_-VVclVcl!KtP_$~}DaiUKg-x*ZJu!e*7Z(d-6g=l~h zAf*S!St=+zYq%E^ad^Cst-#erB17a|Kivf4p<@yvN4)Pvr{FhF4{(~JzxT49Wyh-l|we5FE}#+uA{ zJX%2zjD)m6)j+lo7gnVveh2^-{5%W$-|JGrCplRROW{m0j&0|xmC71P8{ZntW7NZx zI1pyjxhM;5ShFD*fGJ}#6hEZ6tD4X-#V{pChMWbn5c}cSpoas&Lv)nF&!mhkCJDU+ zIob}9Nyrh5vZbRA@kbX*Fs3%0PI+e&jYS#L z>&5wUPGliCFea!@uFd5mWt45Za=a=X75m}0wOLQ%HhB_ZpB+ILmR5#K$inwSESaKJ ztggT!Sg+~8%}{X_{Zf6KVq>ByHZ29xyewFd+Rp*Q(MN>yx*w@v=I1A-;Y}n+;!7bsj+t?bM3K%A7gX3YTb0kwoS+hca zA&^nY5#pC6Ad`?I7#MtQa&(Z@=*UGob`4QOz@D$+LAinVXU?3Ii9?UV0r1g&AL+Sg zcXVX-op^#`V`A%Xv1Ie`ha+n~UWqu+w6Pm-mhe~b>Gbdbp2L5o+NhtkK17^?wVErU z@NMr(^<)?NW?ZHWH9mMR6y!B|x80U~IWGwAMs)M@3^jjIOZj1(eLPY|_Q4}#??be~$E=fE17BY0+p)1@=n_!UGvyjR1A+D^ zgQ3(>CM2UPR->i8I+Ee~-)lH%ROCC8*G_$7x0BZAT_>$A4l#ZjKVt=aFZxwqz|2n_ z)tP4whc$eAQ{qZZk{OAb=U@Q`-#L*7INdZXBw5Yovzc>PcLyIIfvZvp?WAi{-s*F z_*yOxztjeKxo%ntMh;ZeEj#yGHYYgxyA6=$fUH2f0*bawiKgAGdIT!U4{ zB1;)zl7%dYQSGS+ID%?|7Jx$<3{FFx1u>D9I9S&d`%9zf7!c8f1am{xSJ=K4%A5&6 zc>z@MV+-L6ldCutFhsi$~x&0 zaF@W+RYZx-uZM%RN_~bM)p!a1rkMQdFg2?Q=Fs7?8&<=A>>!gZuL9?DL|ZoK1BAo& z6H@kp*XBSX+csn&a!zSP)@% zIB;XfVLxwBkh$266&rsyWiU4`E~2#U!6F{35UL2ZgiDWrdP35Uphs4j6xYsvjt`0J zhtBZ~@SLKN*#PMU=)X^z!I2AGU|$XtJ=v^S2Kx3zG_xOpx!+c6T2nDhJuNW#qqrK} z=49l=go)g`@JJ|)B$EFOTs+g%^SBC zYR~>F(!s6ApVUQn7&=W7uZ|LEVqdoDDF$<7R5DSqSt-jTbO@Y9GyGVwd?b#nhF=G> zooKPV_gKSyy@{^vZy!kZ^A#pWiC?t*O$jib#W3UmMwLIPgsp>TB(nNP=G<#W7UhG# zA@(~THgr}i5`Xl0E;;+DAT&8EOF2_C5S9(3@ClgJA+H#=#!Vou`*`w@$;4~fL*vj2 zrx$FDESW@!A2m5(nKG|Z#l4_+%=rZVuEh7}% z@ty;yjvQIa;WYYt2uf@gNhgyXjFHShL&2M#FTwHO3gYOl4`T)&Lr;M5!tpFm(y1=& z$J-h=h+e;ZvFNY-Tg*G$*AQEpav@mkcq=%M2f`$eT#m_qt?W|3wHLM z7B;48%#Ds+j(*gA2eFYA!&bhVCleoZ@^w6O(FaJ2y5U};kijfly4@7j12ak#q8n>h z7iHA{z$f?n^`sNC_?j3l*DU?ycgTEY>r>5vy2 zCRzx)fl-b_*j9gG> zU{u#mys-jB8o4SEL2xu)9y1t1mXk14oM;N?<1{sDuX`yxG`YiXC{WaHEFz$+1iG3P z1cz}Bx(B>*+`to^63IUrhS&kjvF(uq^AFm>-o(m!RiiK)=5jge~g#*JBJ@(;&^a zi|Q9q4Ogx}SLiotFgX^WZI>+Cey73ooJA)_po3diHU7%(Fs>cI&~<6IOg((Q8=#t8 zS{!_~>Y6xa#CXIHO)mDX^iN8c;;pp_M=in%euZFC$cKhCi zc;ePcIkL7ll;6fcXZit&{3jtNzAb^92v+2Kc(@+>M|^qg$=&$=@;mWGsRz(;UEdWf zLufHX=1&%~sEJA8KSXznEji%vMi{MgI%4$|PR{?wMlcIC=)*^lxGc9Fl_~?!?9`Ou z*UPd8?OFGh*YE1B)0KS%G|u(<*ZcIX?} z8!IB|mw=!0+7dS02Hg|QR?Qs$HlIavckKrzo=;OO+e8JTjYyKLBs^qO)^ zs(+VU%MzA6`f5CTKZUNV{uGKA2a~mtmD;h((Dwc-SpC?(>mT8C|41_}>7bXu8j5=~ zmss<6&EdJ;0K3U~GHoJvr9p4hIY|ylJlbH7`BZ>^*p>)t*QXM$A3nMN1EV@*#4Rwc zfHznSWP)Y18yW^57vliRE>kiKR{%!@)|eh|^Kg&c$uF3*kY65Qh*AzXTJ|cAdVd_p z277MT4wKaY!_vZCT+xBda3jN(3ohUo#$s7A3dC%Kgra*&Ql3Q#%?|^J)?k@1Qg0rb ztdk59ENZ2aq9AEFES5VMGNPtokx*NB4MpK`uuN=t4StRt*-}t61(8IG4*x)?v=%5Vy8>UQT);gL9G;dAm3|$C0)edE zCaBP+Y!1uJSRaQM0}+_3LeqGa0wLl|gF2zV=HYEDqV`a@aBzM))S>`5Slg?h!c6*E{2qII0h z=XYwUr=~si+D>gv_okgQ7GYzA$X}0GSRd6HccTPc4hZefq5kh+#_;RsM(fGHL)Cgf znv+Yfg~T!y&`8Nyi2ImZ4t_9Ji>p8o+!CTfLhN5bga(p!8gipwZ{voL^KEh!<=M`YQWUH-0O=7jx zbOSB$$DW5szKciSch8DqvV7~rSz(0L))+(HM9&G8?4_We4bj=!FMflJ&6^MKf-8bx zsSzg3iA~=F9DCvKY7bjC^VU}({^8M6#C_tk3gdh%B^M<lNWOs-BC zQ&$9t_L}D3Bo_V^xnQ(@tF0J~VkY2{Q8#iz)_3boYZ~Av)5@1Q9ee+Q8@hX{jcQKRuPsns0W(Xw@=#E41{p}?zwv&u&T&49x1aPwfRs8ZDl^m-ip z4N^+YN=|fyA?iAc%YmbW47=1OEh6Gm0PPmAK$0t`i5#MVcO76Lca1eLJ6v1X|iWEX0X^0V^^hN9YUcXK?v@6v(lug zh>Tu9rH+LiLS%l_L+2*AP3It2Yb(K_1v{lsFO$YT{iy^uq%fOnGtzeHbQ7}<<87$S z@y?NH#TwWj0fG_Hz>mi;XpHJRF-k9Gx`1mGggkY z4DNSu-3Kh7w?04G38niY z=&;q+H)oz$@SKBLIaiQ2w3!Z_4>pd2en6_>UJhAMLB|PoNEg!}e2lljm#A(JnhMx0 zhsiv=8!u#O(m`XYnQ++uQBi z#1D48xZP^><$!o-2tcWwc!?MURS?LiWC-!2#t4}Nr6(g8J)KC%n#W{;crS!bv|rwZ zE)1gQE35$f$k_{Pd!8CwQTl%Oj0H)KVMudgm)t$|VUgLj0Po11w#vFIt&deK4)-l- z74JT;gj4%|#|L7-L4am^g|svar|Zgv;ty?bcRWr5bQvv^Zy7>RSJ5tK<-Gd#Up*=B z`pqy3Gh3v&z4FW`s=Nw&lOfJ1lF>T+0*1;IQqKYzke=my9=M7B&GqQgv_Xb4cup1( zJA+f&q7R>hELxqv!v_laE-4`1KqJ`7x{cf)Sf7}@mXw$9(mE@2^4N3f#LEUP@Mev4 zla~me-c3{=mt`lAbkjOQyODGU^{tq#{(eE-R;B_!3uG^J3U4nd#bnWj>NT-pcs1OC z1kF02jI|Zj_HwbdvSG|wX{51b(sdHV2rrN){G^!cpA-n{fD`SwFM;a_YOLX|um+Z2 zEj%9%bjngm;V51V0o#LFV);5Q*$IY-2LaL-{oEj8e|)nOM}K+_o8>=;Eca+R%cf73X-OxJGGy^RaG>Ki9~l||xB+t* z6DuA2>Qpf2i7f)t+XC_3D3W|7wrc7eX%{!}rHHPpqdQCDkTyuNXGxcwfzFPK261GS zVGw;7yc>oo&tum1uU{t4e$~+JVf_@haSjyy)9H7NL_d%8|5CaTAoeOKY6F`Qn>VBy zoqpRSN&-i=w}Nu`Ul;`xL+r#owhI;XbI@YAJH|46`ry_P^le{-*e#%= zfJ}nYlM#%bP9#h)F`FHYED(^zz@Ut_{xuw{gf|bv$GQP)U3%&T>wc z+x6kG*Cc^EF($6Etdl;;FJHkZ^wl%6{+}^Yk<%On6a%)A-|-k$dPXjj=JC1Ra-7F9 z1Frj0Bo8fIfvnty9j;bc_vm{t3H8wE2gDp$BA>VS1&a!g8^!trLYl$T>?kD5ek%$q zIh{4ZRt&+omnAt_S&$y}XM}(nC;Uvq<4Xa762|Mlp?@#z;Pq1KZV;pp@t7$3u+IST861hz{?g1W4>fL)iy zXdo@@vgwAa3@=y{!VFu@9GiFDbPnm7>C0z*vDog~AHRw1ByJNW+U536HZD0R5v!?* zazXN*S)VfK5k;Im9<;cYaZDlEiYO6@IY#~aD76XeRSSPW_%%AujezkgU51|{tq>e0 zuGpatvA%E|T_PCSn`Z%a7=h{K{u_f>0HjTRC4}g$xxJoL$4f7yLA&uNiPlfc-8??C zc|?)Vwx+0;c{3fGu3oO~VXREQ5O)pLz0%{TZ4*&1a0HgU2#7?S)A^M0|FictP?i+e znc$6lS=Cw9MR#^lK+!Z1RTQYe{5%BKwh=Z_)ddu6OMb{m#eAV3`G-q$s%Z#}9#*K)3BO>E3BT?Gm=m1|7IxrhOCiKJB2-RkFYs{y{ zm7N+f?NX@w-hj`s&XXhLcThDqWdfYzF7KMSIcgBbkAuq0~i6rR)R*FE++E&dSaF*TXmwQd8q(1a;dtB zIH?amfT0zFAwC&Aa(!fycfty4TdrU`LQKX||7==_pnlwJ&oI^uCsrVMkgxrTCnJDQtEP?-DkBmrb=@*ijDPW?8 zMu~#5f?;c^QZBQp1KAdu0*j=7F@Xm=9HrKuirR*)D_=V;4D89#6~>1)QDwp19-CLT z5K{#ktbzc_KpO~-*dme*#bI7a_JLR^s-QS9SoWQUjY|0@VGLXKgP)M5g-U7Tc>bc= zw4*4DD5I6%m9Of`kNZfhef@xwi651fp<~9}W_CE^`N-QHrsvHKd=g_b!{VSUPG`P> z9CtF){MZd?w0KRD`h5_4UF=rpZ%*fHSOiQ}V?~g)b>Itz@}fm-p%A6V?{JR8$kuD< z>G}ouSS3k4LEqs>?~oO|J%hdch#8+IZ^JK59%p+Su`H|_wX4@O;Sz@(!1xu<9E>RA z>@1YGMrf{W?e&QpZw=4krEwVw3Am>>K~H8Vh$0pB|*GDnTnb))?5t^oBgay_sGd zs)dbw#Y$iQoumTG{g7+>bZMe{LnPIJv?Ys zUI$)pMG?CU_s#ez2R|*rYg&*Q!NKOo`Qt`VaI6J_FyaegNN2!=E7uFqzfQ9@3;BG+L=wg#5YjeKhig==FGDJUE7ZX>D_GuP?ef=_!W zakdXR4%2luvYvC7h5+0FRxbmyOlB}+9Mt4+OIe33mPyex!^RFA6ZizTA9}t*x5p`G{sq6M=sdb z?zU6h8ewx6)3+6Uz*iH5J;bzA)}Kc-yIvzCD>KSaxCj`0N|aM0Ru=tX>r*M7aMGb4!DbBsmmCvx{TtIMchQ z>6Y%eGD_kiZ~CVH*BE|xhpVj{OSFXnH)j73qyNWia8_5mZ>?rE{|Zuat&*WDI8w?4 zbY%m9_3&j2&%GIEW4#SoUjAeBjPCa7jE}#<$o$ndB>K~Ap|Pxudga?6oBbP6`S$&a zx7(0^&OM@6n>2qMP3Yk%g|`nlj3rd`cQ4LV`Hri*kYNsDdU;`hI#qf_R{u^$?F&zX6jJVt}gz*;N5V@rcm>(Dz106%OMxq$xRgm05W7ql#=6xNp3$=Vet z4XjDCCg~j9DKuV335#Q$%NWYXq~@UC$-j69l0zta3#IlMFCp{S;&POZg5;4SXi;Vh za*w;u=H_%InnEodV5}@9jvSQ8}2Zm!_nMzNDUk3}3o52%6l2J)y+?I|28}T$&Pf*N< zk%7rAEem%kxr0!oQo+Hjt)*M(DFdN#>kWvu#W~;sBzc+&HZdcTF()A4Lf^DH5+dJ4 zXaJ%3aq0jp0Lh+K3iiR9=3vGyRIB2r%k?4;u21B`V7z&bIGyLx4|JqoC% zQZwZ22_4hxJvl+NWEkKjcb7H^IHm`%#0$$%0ZK=irkMim?}b$hq!x~ozf)J1nqnMC z&-$n~#*kqQ-_ep4iOwZZ^?bC1+$aU)k!*(9LbMF-3j*&K9p^veUwbh8WUQ$sZYES9w+6JC_C z&JQLnyEYL_rm2h6=NlVJESplATGGL&$;G;KjsmN|k5j-HLv8#H_YJJ%Z$m=Rf1T4A z1h@DFvjK~w1Zc0ajC#3|6Bu=9)=LB&eCcitIs>oaJ6uI|YDa3jXU*LOy~gl8bv3Xj z3*R-0o^@f+^+Uhq(Vn6T%pL?18>xpUyN2DJ{M z!!$njy`Wr~It37!@S*E~nWCcuGWakf!UEPeSni{&g3ZiY6{b5~yOUm2N-STg$tA6w zK7KV>4SE&P+c6Pw9Fw*L_l*|xUwhmi%%Oh^r<=+|A4V&8&Ol8Lzm6s_*0f?-XnfoD zr_9P5a z4X-<2w9%5qL(|~>P5dD8b8O@v+=YkXUy3YJhCes+fnD)j`hs0k=|!N_8O`_&63vm1 z+2=kE#m{TKnIR+lu%O3nl#W=>Qk}N2Ypzr-Raro37mDhy@FMCCQ8t%VNKpD2hoyt5 zDLWYFCI1R)dh>R$oGbe1 z|2->9cWsl}(zQmdo51Vh=Q%2}65@A#D76Xd=t+z#Ht%+T!5ji+(C9583sma(K61grf_l1#hro*PCxEq_v!m-x~Aq38_ac zABs9R6nzpiS}08$z}ENM4ai_WccqwNhdP@1enH^k)LA9as^9Mr+8Be4UJ{P?#?8Yx zi|M+CCYI%8Se$)UG~4)s?wn-gPb|ka(;(qDVo>ob0e#;jwa|J()-_Ge_>j3@y~m+b zHP0y5rN$Gj%}2KD)@snAOy*fZ;!0?9mbmqfwZyEatoQfTEUgdJufMG{_8X++v*ILj z{PL`c6lRgw2?1A}18(UQ!Re(z9aHoeYnzPnPT=Q7W;m{Jbu0lf6jkC4g?lfsbx5RH z?TmpO0>T4K3t#?)SPv*!gU)MN6*r%V_JwQZ3P%B2IP$xr+zfy1;Dxm#UcT<2j}uHE zY{0Azshh-`b9i^j@j-@p2~lf!QYiEtv}~mX-V2`c3nQ}Zbvy$y>K(xriJF zdn2lpAM(PV92Q}}sV|rU*T@nAC>*|JU`YO0uoUWbxXbh`N|r5ZGch?hPBkFag|~3n z;0U)yf#tp4S4+|!B6r(Oa4&b%>MoJhZ=!7f9E|zNvv2`i(8fbN0fOR^UQzu>u65ytVhp~I>!_%q#+4Hd{ z=0UtMa{w<|-C-qee%j!;*S!qh2i&p~Tlw9ByJ>6;lYraAp`hL+7s@63ZWgx(uRQLr zV?wwoLvG=-iRC9MGqCt!tAA=PubhP!L{@Q#5U@;{?^DmvgY2h`?+RYJ#q+n&S@NI$ zg(#^NpqymuvkPYHUDGsCOUi(G?YX-Yv79?#4fXZ*lOJF#ArRQ`tH~8 zM))#3C<&O^!*J)wjW~e^#Y}%`W+fVyv3_c+j33^P*F74F!-M9q^Z0ZcWzKv7Lk2wG zSM*xNT*AW=>wyV37@s%yG+yKL`bOeBICF&iUh_m1SX(;WbvT3O*6k=VIi$vS_5y}9jEROYT7H6gcLYDl# zoa9>-;gPJpA9!^1wP65ap`6^+w(JAMOX87I5!ReTj`67D6e22}%& zWTUoI)AZ#6(NSjPW_}OX)?tpC&_%)m4J<dMMOx*?dIK6{z@|!hPF9o zCBL8SjfIHd{PmiD(oXaU{ z3K=netT`;JLGgJwAFA6W27yN7$YlcgS|THcunx_;p#T@54!%-qp_h{?>FwY~!obUx zNQ!KO`hr_ugSCykxl_fi6SFyAz-lj|ry&z33jJuYn`|F#gE`Sw&a=E3Z$>0+W@d&k z4e$ej8rZ!?b-yBWF*u1HiD^7j_~m3<dfwd1pVwC& zu-3QXOREPF1K@CNK0WwC6ynv(@BqJc2;KCvT`JG;M9MXA2H&1LhBSqM1!0Y4|7D5m zq>0#q?ZobAR6;3Y;j!zRf=y*2S6~c@Wc%jm0pt?a5tyAK66eTQU|RH)f#~T8i1uKk z7VDp0?z@OqtIMHX78&p1fd7=p48!+`T^3@$y&UI*Bhg%}= zy9F1C52`V>foY7H-oY-}jnZ5-I8%IJ+_;gS=b{2~4TW&nstV7VrkLjCUz`$0EOl1@ zj>x0wNa%@KV!@)k_XKRTGnCa~!JZ*ru0*|OPB3iMFnM!tC^N?}Cbe&8=2-V&O|4@l z{~2ybgAwJ=<3zsrV05WWV|A`^mYNnfOvg^6)+LTwt%lz_U0ieT@VIb~P2$J(%Pylz zqsf*YUTLWssc~Itmqb?eS6iJj$=Ly8_@qZ0Tn9n7Zf8|lgSA2I8g9KS-XM5A$JYGR z;fX-r#osh&dlRxL1CbdollV0p#*azJmlEmli!)yE<8?n0X$2XN7U4IIywYIh

tS z)#6BZZy?X!>oSe{8a3HMMS(5vv84`^5=e$bC>{8g17to2h845}=~B+>1Avc7=?*SJ z*KHPSQd(aE&yFn}qbA*8g?}}@ZmjlPf4r3jlF~8)SBs7;( zKD=|hP2=m!ZnkDQ&Yc}=h#!#PL{mwh@LWAFK3R-YB8SV&8M2h3VU|dp;&mQRc1RFi z3j7Xv+O#Bws{|jq1B1N#fCbCiu(iPSap%V47ZFfiPagUa5_?^6tjOKDv&a-fSv+DU z3}*3IP#j*Y@`=Iz|7NIQXc+6@ii}N`_=4x{2<8xKcsGklKL88NgAayrvwPv-qU|hV zgC)(b<*)`g+DK)U;x6zKOTh#1qDCVG?!1#+(RTTc!Ps2$65|yQnhZy0nC!Q8J zfR!4a6#7pJi(*J`O)pF z!nZx7)mp_CQoymAO}QH;dJ=uHB^-=NM#Q`9#4U9`CawOkk3|vjW`JCw{a6oOm`k=W zWGcOdBNPx`l_-`XOGiIo$;5bB_9*A$T!cJN(|mf-t$~7$|jM3`_7%VE8lUlaQn7c@3=@;TB|csJAhU= z`Ii&X{#_Vx*50y!8s7&**GO`@4(sAH+JYPEJ_p(HAGNo??@A}5-`Iy?>yoXxiCJvD zXrMQ@iap8{TPVa%V1Qj}s$C(@vmBp5+l>x3S@z@CBny>T0ih39Dwnc?McV9A#8x3H zkUK=#JV+ry=`XU>X?#o4daL89)F*H+aQ5L3qf}gpsfj1<_Zj)E_h1PHgoXcfBQ}En zH)!P(6VXPh$xs-W$2EHU^d^KKns)nf9SQ`S?zm-gCo% z{Pb|*-1~OT2U{3v?b;K?ANa+Yx$9TaDVs#`HJ?r7avqxMm?N(cOie7nZG9jdP*Y@I z=SmhNUeosrwjhI#Sa8wZ8yv`B>?|+cgH#DT*gfcpQ>rcNqvfLNt!GFR?`w#BiJ6jt z#(pfdk?=Xp-L(!yBbYy&PwQweQ?{}rVqbZ1n2RxhLYVJm z>)_w)gSzXh%!7>?KF}AO#)mo{tRXs_ehL-?vSR!9ifxN zO{ji$eoQdul+0nstD(^g%w4bQDJfsAP(&BJfjb#xu^Pk=vdeemrW_h}U)};2!x4zW z&(Qjv;6jC4H*B??OdEZQNzHbwYxjJAxuX_!_%IV4N$lu_Q89px6fP(Yn}mb;t<0_v zVab`#$4+sD6}u&@LM7DkEMJ7}2cqddk-Pac_3lk*ZC2xAdvHO~Ribs*#6-*)r;C7#AAF3Npkr zCo0F9m=E$cW^W<|(&6VTv4vxt!&U&+;ax4af53FVLP&zey)*Ek1~^9@EgOr&-A9TM z6woMDL||8ypuix46zwq`VsW^wZ%iD0(i{Lcu)OpH*OTgr7!;gJ&{HYwL}jtyw`fd& ze+(%U>UVi3s8AqidSP4ny$|!kY3j(0-QpPxQ9_s(E@sfkkMOB(NT*qkyJwHmKpu%JTc{xV?%Z_U}``&{boMz6KSwKdKt zQ~}tmoPpC0RsHDyG}kl;lgJy`NdqkCHZ|ND1ppCACQ5^k}qTrDy9ceO6VZ4V3v_M@^xVHK(4AV z;Zc@HyRg&f**_P{s$Yl^IrC?+xcUsvYx-04dzZh3_u{bZDfm95rOYM#WbAf?9lk*9 zKi?#+7O z2aMKVFtTDWFlXM5;LBKJ2X>?}zA-4-I7Zr=Wq+MA$x;a;q8nuuZ3AuYD58js?w+8a zmUham;sX>C$k4^cmZVLsPC`#m#OmiTvTY*o#J5}XcUx_3w+rvKdcyB0#&LOYuxa)cterX| zq9@?|46ZKt6gqM;x)X=Si3i<0B-2(6h}L?pRq)%tMdi3R1iA}*Z2icOgd^N9@g*wAr`ZbF~Xd)M39eB=t{l0+h-7oCyiJXnapF$4)=*yW>Q(=kJ?D3m-pOR%MDYYyESdmr4c51uWu z*sbs@ssqMmt)NIT_hH2hHBVO{WDtf-E%u)*c!?Z8LbV2_4`30NJ+B;!LQ?p<-#Jrd zG0gzmpk=_rR!f;|?OiU3)DgN%$u6Q$)MLV0px}S0uC_9w;kcToQpkFSYLUZiLkZY| zCKk#~&+ul|+o7_rY&Y^;-D-3Ph@XA{J*NNN*J6p&35-8}F}3mPf(zB|?!hUFp;4+^ zVQbL}d_*3V1uThR=caDk)A_ay7J^tZG3BnvT~`qB8Sr0L0rhGcg( zq8i<6mXu!Ls8KR3;H;iQcSh2j!8Cv3TT0DDG#-X^1l3_d0X3$p2<=)o0Qv4=RI_UA2e zjjmlGKKtzGDFZ{9V(ADOqpJt7Zf%f7A=)EQ50VkrSG+w$oDAs@B0v7xWqu!{Njdg1 z9NB*ry1VoLBlo%hIG)9Rm<+dACS%252I{<4tbFk_8Po)J!Tccsimw9H$<>;(^*Z*8 z*4}_d^xCHoq>(+muq4swtuA|ytI0QS!c;L}e58i$$N#~^11b@prZ&7sVo>VP`s(dU z)14aVQU|+a$#%h)X<;2W^k<5l1UN z;8~y%V};=SwfhBS;9^w!0V{S4oitAJC*1vQMF%Xl8JmVUZ9z`t1i+yjuZQwv>+$w@^ryss*_vw;I0AXGkhV&@SzV>d|@K?|caA0c)$ zJjXvC9Psmk6CU^neZeNEGL2AeOyKEpEyM`h28Wm2ApmtR3Xejg@c3Q+bV!U5@v=!r z9vH#QB@v7gz2=#fMHE8D+b<+rNm;)_Ujjb2rmro#2r=V*(x~&^8;~(Cpf{I)!@h!LOJt_`rH8b%0quu1t~Nk*q%NpQhZOAwsPsaZ@TW2*~QpeQo2!r?%iz}sti0Vetav8H@y%o|V5k3pRaLonu9GtS+O-D=NJ61IcWuHz!GaR%*uL{E)(D5Nqyw!YZ;pel)_=je z{}4w#4?s1@ei*2MpDaFCoyr7@R!)>S1l^!Y;Dm(IE(He(m5|#>eN$+2_CkWvzj=Bs zNrly^nKEG>OGGbVw8s{ATCcE!Uw+W@KKO%9_G0aPg@eO){zxLNPt7D@wH5SPo?N=P zH8;=wa2L#njWk{Xo&J=@ln5{PCUf+)XoXX>NFAPCjc1b!GAAo;eJ>1nmF5py@H+g;>?=JT zIwPVI3ROCCQvboA-qCq+)zzjmz^U=Me#OL}*ynpnBA@qqkb{>YKX2b5@&2tN&kCAJ^P3rcs^t-U$vx(muF zKGBt2TV$xrMIrBWsLDgE{^szG)IJRQVN>IPfoWYTQ(==ZInoFd!U$yjS!zcSk<&q? zkc)~QGTFLAU8YPOWS6td<9;N{N=*43o2W zy`kV#0kYaRxGGKv%N1L}sT=5_c5Ofd;VMVp7;kzQ(gjQ|>@OZAVu>Yni0700;FxuGJ-)Dc49PYax*BRn6A*& zf`TzrV;NUFLwDqs3sX3zvIJMUN=Ha(>D}nC&5gK|z}QlwCn4m7gF40zmC%S9=VHeF zYHRKZLquK5y}0E&mZs1mF1M(z3v-&U-fMi_T*@#bXlh-Gz88&#=u^GbsBhfy+!PC3 z0G}pYA{gA!gSJDLrrScZj+dt(`^pPt~$+S8s}ng_#FKyoXFt$OLA)1Mg~k|stRaF*y*qY%7D-XV5%1ZOzS&5Egw;|em4_6-heXIu@hy9YfkiyRlMDeX>;H@vT6z($g z-<}J--N0ON?WoNayc@`D6O>r0)zZQAS3qRRz#`1Yhr^FhN+1E53IwLEjKsks9hiR&K z_gw@ugPk5}m^YKU;+fr$azTiaV2G|XRnSHx8 z;~SaFk04`9=q5FP83Fg58xJmH?PmtVR`kBt6yhh&ED&Cq9Xcbj653^X{TCrRM3w3< zsNL=*V`&v|ZivG&c!!NQVv0aytpb#J)RyLH6U;%gehdl&?AvYRwm+GW?2|W!qIK|v z3oxYw8xP@x97mRDyr#eRC8E&zXh3?chIMX@wwKUVwcGdGe3-l*!nk2q}eNE@SUeesl5tw(@=;) z>((*yBKt~DsZ8puFX&J%Wf9b0*I)XUxjx`Y`CT8;VgLwuldq&O*nbUG?Q_>5KktRM zW_~nJ!Jj}|Sq=T&Hzf*Gn#5MDOoMF|vj+N}S+u{Yobh!CTFQg;ykX@wZEBRpHB2p! zx8zo)_205%y8|(;7#B3jMcz-pS;p+aSZTS`;SCR+okL_=?%%)3M0_H z8T6I1O#(*ZHo;0%72jroP9C>~SfiswbZF!NzFDKD7vpJB+a@ znvw6t1RvAJ2-wiQG|-{atE=M-6*@e`L?zC=u5ac7Thz38fad~Z6|wkJJjf`u-b7y~ z!RQv3f#w%sQi&XM55;>1GW7t9mD@V#Ui_t@+i(msf(RQg1Mdd;;ZQ*AS@PYs7Cj}0 z=`a_bq@)Kf@2b9FV0ALU#L|>XAxK?yMj0WqVeu2HCl8|Z=Y$9Z>j{pyJQ93#0xZB# zN3J7U;(Egn_`P(d)?o612WtV8Ld*0prnX3EZ8U!5y8|&=a&lD-ENDCZk}GN5VFe`T zP`?=iWA-`D-AX?vgFzVdR7Z?39)R*vF6IwF!ZHw!31l)UP4V-hEgc5GJn>3@Pw*)t zM~AEs#BIRaK9G6fd+8ItL!hJR5Q!WDW7>f46i(_YMWWqdv}3gj&T#+N2y!UUF*f@d(( z6_UJp_BZH^?7aag+aRlVh@R92b@I}~mZB}K>J^T}Dia(7ZRubR$kGRd(^ecg3t5|n z3dSx-WFzIt=;LjL}vg%6LtgvkZA#`pB!y*wWzQoX$CA|3BDWeiTFMOE_J&LkS zwQ+3j#D}UaDQ%r1&sa(*H0Frr1FtN&ImSoJ=V8#34JDf19#C^3+6YfDc84{uKTM4a z^S&h^2=q0GPoUU2vLL`p(WcTvOch{r8~!Z*OkNa%RAs>Z_*shK^IO^VX5ZRMlPfpa zhf!pFRA6=B0c^8<_L=^WOiKr(gJ1Jx243R314~yfYt4dS_vynu?jH1%P_#k{bJQ1* zaVl^|OL+}Y`jG>*xhi7p^0SWE9PZ%V4myl<;7|$yKmO;iKc*TmJR-OygovQBgaQC; z(XrtX7Yt@OhpNh(!BiLQBiJ@Uh?UzO>wZ78=0hLi*PyV%8s?;2H1sBrCwddg%L1i& zD$)hf+4dBn&w({FC>Os;Sm4YefBx(o2kf#iZ`c>wA_>}zy@}!O39UhiF3dS-(qtK) zV~nSW!OO)l4)iCE)@DRg_z_lKhm>U)&tVorqcTh&;<^z-(kV7}8QV+ifF1e*H_%7V9!=#vfSe*T*r&mmN0VcJui_Vi9@5V~+<@ikZ)Q~h< zd>X$L;1~(D!P24l^#jF25!xa7J4Czq1&r55W>p;7M|WB7gmEf!^7zuHtk};Z5$4~eGM?Q} zhHCi08zu%En%DSoG~?qKoiZ2q;aEM!?^la%I3IbwaA-CjxfL_`t1w=47N-@_oBH@R ziN1I_W`z$x6=x61xDUigJG8z*XXL1a4YG<5mW~c(7nELiM`A~^;NK93lB*-V8!<&7 zN8SpUa%9-Hds&YB9~i1;+dRKtiK8ZOd<(~l(4-wp-sbOil0AlRfY!#0 zYkXa0dF6jVH;RM4e&9E+wlXy^D$y;Nu6oUa4{~e=wxcp{cQ-Duk@=r-hUUtM)%XWi zWD*NGPhkBBwHJwLZjT0EclMIJaFuoX4D^vJB)mLSWWQ6HWEt?$R9rfgOIa^B=s!&d z(Y$4^Hj%8d+X>*#?Bn>^*U$&JWgogT*VxQ^c*(vE}uv3vbg(trpp3EcHeAipCjE^8tA*dI1e` z;|KMf*+-;t+QT!6PjZjgzK0~%fbStdg_&I!KiX8^T!^&rFqs|TDQaNJ*}@I|UI(1Y zQqiC3r!XOGn)30SQlN+ff)MQEu&6tQmMTfGz43Ek8UrWO;4B^Z;piS;aaFaffh4{B zAmnK4>8f`P2+dRiPFMmsKUP${l!%d`1;V806e$T<6(gV_cIunsT=!~J%!u-(IrN6H zd^e6J8!h^6mTh(wxC{nGNLgX(VOm{+Rfc3@fyQ|(au(wz$K9#coBDTXWDIumKCh<6 zAu)UljH`6YKo-B3AM~S)O;dfs0lhSwRtAHy0AL*WG)9dR7H-8)V%2mitc6+*Clg2P z8f4rWXh&ZqF)IwslYsyI><9G)^-OF{YO>4Di<@JRSmkZJJDABJDOjl&S}dIaV-jJ| z%90=tBYk+rBTXRkl#n{Hghsfnzry++Tz>EGh8$_hX-n7E9J)33Q_D@=1#rGTAV&b3 zETAUc3=i&5E-!6BGdujmSPWcSXG(^u4%?JUhNl~}FbwANR3Y5Vgac$bY)y0!K^W7e z_#s&?UBD-C)Jl`ByaMT8qv2?Im%>~#hsEt`65R)&1Z)wI0)TGJyvM)nFIORj?zA-0 z-IG48&p_Sw+zGKSXeM)~nL+toJY{jFN*ka6GzU?$ejeIw+?1q;U+YA^XxvyEPdDeN zyVXTFU(33tq9maGrpoKr2E(Np5ZOe8&jGxEN#QRorSZx(_a_cV52ImlF*Y$>sF$Fu zO$|k+g^FB6gOr>s*i8gDkMmc?z*499^#w{UXj3d5p_r^m=pZl~j$DiclWajL4tI~) zdbIrVV{>Il7O$VC5J~@1CHulzXl0MUc51l)P$l`6k5=)-g9)-&bnkVk`P{oie)?I1 ztuQ-cH}ngB1mcDCx=ba%V6@2s@MFlyM2-G`7NV3MK%U-pgpTwo`8qH~pav;b;K=a4bP;w!4ROCuZ`eS(T9d_(U6;sJUz7cQ zJc~0`MlICJyqPcmyd+P5`p3om<1gXcRoUsHP0SI@T`Q*SntD;2UY4i$dC59B>knbj zvW%h$nF|xAB}-#A;o&@P`v3VQM1^5=G}1E3#4|Xy6vNgVlDPqS`T*4Q+B4AKLL+nN z8Sz^t1u0vn^$ulHL;O|3231yuc-b->97kiicUL6eU}*&8!`3(+uz05e3va6+WFwx& zQX`|QKZLUipEXvFZ&UcAJIpONp&Y%ty?g_|IiN~oEUM;0kv}qtX}ZB_HUul&X>k-Y zkZ(h<7ix1HM!QD+Qmmi94Y8qV*7S@d;nTbJ58LX~s~<@-dAN^al&2!k+~#TOhIQQt zFJpX>=5eMmQfyTSS+`=TX8`(}N(X<|;vyL@@bEG;&xQ(f!IL-|Bt}6`LwM490gnY> zwa^$hI-KVwGL0UF7yft%$9^T~=Gv7zKs^lMvk539eeFyn_i-QQ|G#Y1K&V?#6pOs& z7|;XS#3T$`-!HEd72*4IxH)Ju0EewGr=W*wX%=x2WX#aj52#oNkum`@l3%?HiLfq% z4tNA{n1{3&$f8QivViI=rX`jCdBKTS1bmkkNv0HEIO!lvh1;pQwoY0M()v<^4G}sh zjpkKFC1KSQiyw82L1eP*P;+yY0tJc;x)?0c1X@sZYl-n8yrbu(De~J6MZzWsVHqQ8 zC=7%tVo8RK5rIk+CNd~ zjCR~Wju!{Ve)dvFFwOwrRAKRzB%bm9a2W{$nZSaReSO0zi)|cToGPe)FeR;tpP4{J z=_D`!)HiJN7g_Q?rZ1u^Mx$^s5~B3rBt6S$QdUlG!@U(h51sibu>Ie)3o8}#ascf& z5ngFrZG}}XPLtotBDuB@P|0M?yu1} zGNDhWnw&W^Pm@t*cW@vj_h5A#MMd6sC1&jhq+yWn zZzLl_&pTax?!aI=`1BqPL-O$(Cfr-UdU|4hY`3FAj_J{zVn6s%k=CP-^bw@ELm?eH zyNJnPp8BfDE@$N3E0?kguyhon9NbO(dV-<{??h}VN+m$|^jb17k_9?8w>l}k0MXJ; zBHQ;aOZ|&ec-1>Ry++=Ugcl-zYIiF=@)f++x)(8UsAv7p8t&b0f z0|sXQV%wrTe&$57a@B-8_S7r7WgofgtQVC@W6CzDl1V!$(31*%;(=2PBClU~64v_j zpY>@t_6<>grV8fj8Vi>@?)bx$I_f6DYbQNw(Wim)*zIP-{v#a6L0Y}MziJVV6*ar? zZMYqA(iAg_z$3L+%$mJ#j2fKp$A?g?i&v4R=l&gPj+0t)I6@BzpsQ$%kg|(c&z7Un zk?jj%<)5VgZlKwo6Wi|T+YOUIv!UWHIXF^IKtPu$xQe^7r((S^;q&0oX0kG{kCP78 zaYsLg+e#4@v?^$U!3(?tQ4Gz&$Dj;+28XF|p{geC2DXIURC*XwlLvv(TgZj5i{PDS zm(8*Ogp`ob2DZ%|oQUhxLX|*wilW;zf8Avl(K^51teLnpH0gpdX_H+{5K=VD4l}V) zW6}3t*QCMDnjC9Vtu-6X8W}b7Kvky!+E9o|836*f=&=4Aeq$Q6C`HsIUBWF%F;a#x zGkmfNt$z@%q7K37Lz3<0+O-JX0nV3~4%B*2Ec4Z6a($qe+`oB#Hzvah^lYHnA$1Pq z6-jVhoy2wu9yX5-CS09I`&wo?!;31Y%@GDRW1|Xm9P9mYzY#(tVysz*`$7xGqXVc~ z-9qA-v$=39uhEgMKHnNAc!6q|(Z zh+-4^<|w4_)I;pLrZSH#DwJ?-jPGhLq@vd)mb5SL!k)h?ux0cr(}dTFT!G5*rHRsH zcS+qyI`;vGFa5zfFVsv;FPW-)-YTg?7c#ksE|*RQfvz%&#Msk$Bb)OdiOQSOw52fTL6ULQR$~xXjYO_xODn zZJ;JC{g9pa7wzEX+$3ze>#RCi;Mkzb+#+jsDLML)=g34mmC1s;l+Z!wu(5)zi`7Y~0tW{7Q+v@x z!LXH|Ct>$;2wT3JzrWMU`>>{oikoEok}8I+vlEm@WslDw+^tB~;JeM-zy6)b>EFfD zn)*)6lvcsC{QJ9HG%?`D9x-@gF7vG}PAKk3&Ddcp6Yn25DrUz-ieanD($#p!ck1-$ z2v%EV`O9jWw`>#hy0ho!kG)0~v)7+xvEeD#JyTZbjN8}Nlu4GpV1ul_LT$>FF*z?i z6wxM)|0#8T*m}q(#A3BImWR#o^uZsV^hT85uPyWIN3cNwM_cHQ;=2nC96781O-K3F zjp4?J3UZG-wmPP*n-w3Y!|t>l+ooJILoJ6ru<&X{r9L~kpaXi2#9ZWk$MT1w;e{+Z z8f7(};dMW3{|{+#|+*Nq!S%zs%KqwwmR|;8=?`i8G8f=#QW~ zLRyoVrBL(*s^&j_tO=6W0`^|Xd>gAo9Fqdbs@LXxeNOqV2j$KCV8I>DS~w1&r|a6H zZuoB70+xuThr}+7poIWe5P)du$)QL@;os`rr);Z%PLf|wGJN;o&JSB%YN=_0Nh8a_ z%nS});sX$U%>{2%Z&v74tfb(OIdbE@C`-+6GY+F3G?|s~x-6Xb;|D5H9sCwQoDJ*} zS;6C8#l4Ed9Q2`(q|`2ni|p0nLi^92l|xzbUEx%g5cSIzke8@y;*hsZz_(nR;J1L9 zZsV;-L*8mR3MIekooJW&;!;M>V6CiO_|V3nDj%ha2Q$?lV^ExMo06Ym6b5NA7a#;8y&4gAL@BbOhsMx}#d`P(n=e z4Jy(9ibU<$7P~YJsbhVX_>=okhA^xFSYEhEW7=J2Hs(oYO*uV9Wu zM#B^-e${}Uht5K!F<33xsVqP#p@YB{$C2#wLe>sU5vWC$p1^3y;HA$GBndvDK}es+ z{)23%354TdKkJw%pHRszIU#4iNwvl^WfuZ*Rj_$gYdZF=>NIZXH0<|M~KG zSMqm-LVmrM&Jd(G6e*h_86V!!N(NXG07+Xf8l?9pdT+LRss*fO(n zw1zKo;z7c6RDy-Je1Zq8UAW*Oti&ut#}O)P&f(2Ci@2pWBKa$8I}03A`k!v*>=}i+u)46n}9_QeRQN1s@#OHPn4sGmmWH! zeLGYVhOJCVqAy@LcNZSF+ZY}Mq<-wA~PkBq^FJ`4*3Vx7hojoS|R;xVEuB)y>M zm#Eq9a29D|ni`5jjU&*cwck02_*{ORi}RwMN3YlJo^g3YoZ8kU_6!VLCnZL*(+fkj z!ehTG@?Ht^Gci3GI*CO_II>U#hSc8`4ki@F5-BR5N&!$VK|POiEd25 z&Y-@}dqRzUFXQg8_^cxB=Az6T<$PdlC-Z_piX8k`$6h z*9k#hW=<&VhCqH`umO8=2-V8)um#I!(8O1^Lrc5Y0&&=?mpml$m2+q_z~iu5cB6Ny zeA=Im75a-KM<;ML`)lwRY;w}60#vL8i(<9amw`#lTtPA(;a2y|xG0LR9hsf9M~GSj zowe8dl(sz1UL{W_7xI*~ok*QSKA!L`H=ON|r#zr4Ev{Z2?cv+pj&A0TrAP6r1|#!J z7cNjf6Y1v=U>TZk6o z9fRl5+O}b#kGlOxfx9DSkde-h09_s7#q97nJK&843z9TL&iLdjKpp?XWg%ZTU^V zr0KsJat4HW^*j~*+mKa!s%B*jXX%fU!%1tgX^zg=e#UWR4>P)pCRss|YGBKh_*x!q zk4<)Ynq=eAu`^ojMMQrG!&W4A48ztIVpMtdeoTX%ZIY3rP=D(~Y+u%(RXD3BAPUZK z*W8eu*!5bh2bw@&~PWk%Wg8>!Z_9UMe(XF@IMlq%30tt1G#mmw+R1{n)7)zTbLmgpnSnwPLG?E6) zs&qCH436*)@z@kmy&!ay5Q{qH5CyiRMJ~l{9#3zcEn|UnhC-~j)u2y|^5k3JK9G`d zWn>(u2s_rX7Evb+)}6G74gu8`=wLrZ9@{Pl`pegEm5Vd6-|B5KdK5>c&Vbj&wWgCu zMvwaR(#C+)iJe4Epn3WMzbir%;>=XwpP%!0HLDiiZJjh0cHIP=kCg1=S+Cr7gZMX_&m)5o-)XSKRnpZb&ErB6}cs~)(v=yRb5)~CF!b6Q3i-(&cmIc8< z&G_|gRHExmv7SW0|9yV?e<2g|Qsm=|q0-5zAeH=DG76>V~XDpWGI;vNtWkD1-I~)Otfp zgw@uDo>uv6^RiJe{EWI~MT^n~#D*z=Cgu&5tE~x|*D<*!=jJU&*@>_^g9e4g0iU0g zYoqBA3|n{06%a57nTOfwrSR?mF((d=K`FgRgwF+$-2wU`k?~qOkW@k`p@TrxJCc1C z$l8G^0x4N~0#3S8GEjPJVgMhr538-ue$z;{_(2@LRhIei>Xx zG@erz<8(80Pp2L+HZt$Q!ITg*OliG=+oVKqMo(IzhSS~nXeR zGq%BDX03>V8lyGl|LxJo}??wy*HONOt6*w~ZX#Z01<(V^ldGgV&q7Dt>iMjVV z5YEI*{Qf8Kp1%VFdB&T_{m*Q#4}b7YM$*Sok?jrWM^l;?K}Qb&V_YA8KVG>(TsV?x z8u|81y7$;6v8cZK8B~6yg#AC1s1+bT|JsnHCR6t0FFJGVHHqkl2XQ`A3)7ebD0Yb2 z7cIwt9m-_NEU{HkGD23aL0c8(rKK}r{lisY&1x%UpyTkT*QcWQB(vs=wOfq6gtQB& zEk#QiIr+@2*4+S#^rtk6IDXs;pjT^FhDLTy*P4Qk+&CZrP%qLJH?5K*|edig~{!_G2x6 z1S5+p1Ms2x`9?Xgfe@l(aXNq>(Ch*GMB5l4Y{ezy4)*6N`22kZPxH>xgIpX``e7s`=+G;VzZ332(^uCWOsUOk;a0Lh3aZV|_mY^m!`J<$=8oQCCsF!OD<0V;|)F zBOE!`W;YvsBXx~S1+Wk}$O6AobOU}>px~pi>-CFpbESpCw*q0nFuz46sV(wN+b}f3u+?(4 zwG7}nHe4#m8~A%8`WZj=marA-S{Yh%^%H(|23%Ovb~%m3I95yuBli;bS->o{Ju9c%8T zkSn4{p0_v4;Yg!{;yzI7*pYAS z6uob^B!}N<@b>Dgm^YkZ(}S(06^+gqmL1<&mZ|!Loi^vjSfzt`D6tB_==NdQI`iPJ z(Z;Vt@-X5(@>l3M?r}JgbZ{||?ddYovr{hJ^UsL(&ld6B)`Qp$^MYGipzs|N)^8HN z0Geui8`V?M0t9d1!{t_3b1t0E+q#MRp7`_h*Ek0rGySbhw+ts9hf4J zGEfybGLUinxfHtx&zOKy_uREhn#+rcoBS?zvmBE+n>{OR>}@HQTEBs}UiUTxquhM8 zH9d?#dP7J@Q6wq2D{%T1c8@Utqt?k2jc6&Uo3}5E$zz!M0 zCgEvE?1R`C{-;-o(~o`-hohneZly?iht@afjNvMwjINN?Jw&_FyK|jlO3N#xZ=tPw zWY&BoJgVHQWkFk*Wk@llB)6aveF9a%gEMCAAI{=1XvHn+AoC9R-|mMoW;inGEaCKT z0+u)oTNkrvcF`xSFT{018XXA1D#vvD{ zZi$_*O6aGYZz80N!kIw3kUI1Q-!J5(GRO~J|cOkY^^D+}errq1b2u^q@wEww4KBiKvn$*Lo(udzhRie|hjjWsUO3Dltxc6uXpg)t!khz8vP zyTcA{c6-A}6>FVVsaDUk6(wM+%V5L+mI8grNzf~E4qpGD#=U?#x@M)N_hZdIL?@q} zM@QTuYM*q^ZHq8lzOTvH7?cIe5zTD&)c{ZBjEcL@oTUR$NKkr;{QC^#k&Jtd0F$;q zh#jM4*xt}J+DU}-31>Eav>aK`6QTtwvJ#@kXRHDX10Wr+hoZxBT#{+5=_cbsGh3R2%z=8iDfpnxamlAdW5Z4tPgED0u7R=c< z;F*-(fMMT;#2|!Ys0{>5Y!OLEx;vAzLcX5R9xYct#=HOwHIOZC(D&+%FdN7=(oz(y zJB^=X{KNP)kN~9`OZ@@a8W2$Q`n8H8^K!l%9JlmA5r;O1-1wj_>9@x#;i(f|S4v(t1;OGm_co2MA!(~qh zP)g_^tddwn;#oT|WgxQk1jX_-)90m=lL`Ph=4VYaz)&|9cc_gS#>SMuLd&l}ze*Dr zSp~HkKAAHbgxCu?nQ=Z4k0M@W6!>B+2=hS=mb4HpQwE6e3pVrV%2P&#Fl8BeJ90&3 zuHsh>Man3vv|x3xZXAx4;H8IC7Hy&R{<3gue{A0au9qTZ_QlUvUQLRKymdmfN*tD^ zeYGeni>Hw*uPk$ZNKzh;uc(yl ztSyu=IVK~*1Z18VGKpA!ZNIQ`p9*jk($-S+A#=nUC0qFzpq2n-iaQ8pv+q+J6sj-* zV2jaGp#a*?v8ltjfqqLgUxJKnvmmrJy%>CW7BFGnC$bo%z;O`*GG&#JfrE^&Wh8TO zSo{bbiJuA>##KielyP0^BL;z1r*1L^;`0`1z!i|c5=&>ul@?7n9957(TIhL!vq()< zpej1kxdtzByBsS<7GB9AL)aH&nK39wU^tN_W1(C1xy7i&rB|OVNrqW@(0q4?{o0oI z^mS3AF&MEzFLnUq6~F^_S!~@IL~T7nAP~L+7D{shthPJp zC7`s}0#eZ>So$n}*rEoLL6HE<3jhrwx;(xlVkgW5F=}wN`1J`IV-=U9R?7fc9=ozI z0eJ&sXK#0$-EfXhhP5c_8PddM2LvZc#^I`jet0T{e4jBS*%f2_K8A<->5}N#*3x5i zo58m=Ft>EuJ|L_k65G~lctz*i($WvW1lb_W`>N~FKi=i3RTm2eHK^vZnu)`QQe*-c zC+RL(nMD(Tx|@A9w-{c<3F7qXMWDI$^t?0~Xu|X>vBC$i{#tSAi>ZeUXu&@^9C;#M z`ha0Fvg|9FeL-bL0UHRG*dh|o+JSK-_Zw{~{n&xiWNh%amJl{^hic2_={Ne*%VdEI zIq`er)MEWcYQxbq+oYq1&WYSVojWG=e?KYePQ%``yHJ4}Ojb2uZ4__|)V*-p8#qRqv#0t-{- z@GEnr^mr*?qq`C;ISS=EdU8S^Oh|menTS9Q-%-5Mrk&sEAc-LY$|DUm&0t&Iq4VRBz z2(4c&;t=Xdyp7k;w<>hTfKq6yS+114l)#Ft7Dgj>xxFr9}apGBkxlYA5Qgs3z0mRM&T zeuOky%pCdILKF)I39Q%-Kb=hMFf=ecF=b3<~~|w)dvk@%f1#? z0>*hGLA`t{8OEIrP7ShSEmSWh>@jM<-( zRVy%5CFbxG22^2V+#LEY1!U6*QxCzQE5JGYyz=uxQjqnytH5S?JMKVdiL5BcEcenw z%rqYfm%@XaX!e?9_Sp2T|C5+)fXy9HRiw`F>wwL09Yp`=5ZcUxRpMoWp$* zHiHS26=IoqP*-srl4VLpFd;Lmd3PTd6Oe}DG?6;DJme{u!AGp%#sGEIfeUCdfJ412 z8^p?lF9HU;E}tukP}3~j6K(4SGG4Y|uR!WQXzS@e5Bo{Ji1Q42K)<|zpUmRWrTR1} zRG!_fw5 z98sGC2&>GVF_=mOC@7;%uY7m-Xo_H43fB!?V-29F))MAi4HK-i6ietn+z&S*+i@|3i#p@T@2dd;F z7pc{QpS}X|MhTMz*>vN)m@3b>^COp)w&#`{-+IR)NUx6GqwO|Ewo2gXf`~V&#p;&DXccxLP94^+y)(pw$OjPo`9XA zjo^rYVmY{oj4dDwzrzpUx0CQlceaufu)$VfP{PM&`~x6khy4(ck!JS6gcVi+G63%! zTIyRJ-`8k@E*aWmCTs&_W3mm%|CPM4RaEmL9;siVgPP1?zHn>~0RfeU&ye$3N_(br zxT!1#tFnZ#$qYOZ>dY2k0$dd5reT`NgUfEwEX4Y(+cfzOo|sGsYgH32pn7%96}n6rpwKTTixKLc^kmyXpiaVVtA~2I=9MF z0cVPK00x5)CsD4EpdmubYu1ZFNCvy2$e0?-{n?GtPXY84;&w!J9hklmTUBK%<94yG zP@X3_j3w?WTvO0z*b>PM9r_v@nn?o!t#pR|Mkh=vO+*v@J z1Li62#jJBC7E_J6ZE;5r$hphmKoam1qw94QJRzNu=%D1-YHn-P+GdfzXKedOvI zBd;|+63X|z6QAGwhvDTk*$vZPjfd^uUJ!&lz2m@lkhcm}buK?kqt%awvb=&?6#b4v zF8To^9fZ#Iz7umFegx1$-&mk*H9v(vv~WcxO5t9iUKB z^@I`h?%P=9R&k8g5zuC1-~A(|LE1L4MD&CDA7gsmAa^gP6Og?u- z?5d3sxj(sH^zdyFju;{7vtow3d+Lt{i%v3%*1y2LM{ox^gwRPRB zA*oLS)>C#8kvEH?n<2leIGPe;g$A!s3+jNMf4C0@jn8pFT2N(JW>*b00ach!K%_W; z9oy4(dxAbLUhjd)93Gu0A3DZi(r%pFW8Csk!8MSTg3qxfx?sbEg(m|TAbb$t>w$0F za-6?;Pp!aY^BM4wa0ZgfAk%_RUQX)DqI3_}?m?$B1e5n0*>em@F#Mo8Km`L-56J6S z11CMe6nJL>Mg&!oW_kb$W>wt!fXH(f#SGTX6}evXC|=xz)D#Fe3Gpru$ZiOpUq?VJ z>Qz#+ z^urdOxf9VL{Z9jxS1)0!D2J_owV2UGf9p+#hIv1#Fd*b*@Df~V6+9Fc8xd@qWkqL{ zfhP;rC1REUUMWUN#Y7k#Wk5m}SR-LxY?SVf07q;g#FMsR6rqlh`hq6+>X1ur`(Q-A zdSpi&{meTeseTmZUBwAK(FbF7zlE^ifb)4vgUcfM-21Z)q_$EqthTB(QZ%Ujh;<;c z%F0S~9)_-UyhgT~-q15-rv3aKt9H`!4BV8=680>NG%BK*ovzI;R5u9U46!$)k9E5eeYt{68cCz zE{F24a9}`#bQGH}(r6K@t>Lj@d%%Bx;X?!S199A_NqkjeX#c5d50>Ad^Rv;5Uqe5w z_M^o0Gi)v5cE1-X>rT-YQg8EhdaM(*9T+WPVx8f&0)-lZ%`NabI&jVwoC>5Yg?tkc zfQ_Fh(u1OgJ8CQFvNndXdO@5&ebL7PnZuvlGvgzu@DF}Y151Dj54sgUuCW6geu^J2 z@hB#R;WYdJboK`J+;OPtKjd(f==Acl=M~L%~ z^^FT(&%5;uJuQL0RXZ)5doy(?>7CHq)=heAy2P={;2GP`@z9Kg{Av{kcibB)3>^O+7(NGPK?_gGJ%4a?r?Rd=%oPh>Q(UQT z$%@Y-5;C^o$6OMWmyQ5OY$3#xwqcaPj1-nVN^-G&cHa=eZ_348@$($Uo}FAzk0Ul0 zt6s6;cz#I3>&{QY=-DN?{EHAdL%JT2g14Iw%zpjS$Zq_xK=E}#Obbin+ZRbNEf)nY zmxpkuCP>N)TnaWI z+`udIZlVWL_5|jku#=LKRslF(Is)3#>q*-%%}9*g6Cf5_eLKsbV=NzVM~jWuh2Ra+ zy$HDVqDQk=ofb&{Yp)Mo^{XSZnD^-ohTvUa75!L>@fC-y(?B4@HI9u>e8a-W<|{$o zkE*IBU&RCU;4h%4^TpK^!y2S)Vxqo`H{nr;sHydo!Q=4D5|6vmQ;?MQH4Ma^yvX9@ zpi7H>a?J8r#EKP-IAmj-Hh&HE3mau> z_=JX>jbZDtBGiT~kvG8i>JcgzfEAkmg~PHoj@J<_ka`22 z%yZ(Jh$3LqyHdeikXu444)ge?uMPt$7RL8u>PjQp?nc=YI+T|%k;fTmKrZ7E`nmFwV8 z{|^Vi^J9UbjWb|<VqgBUdih`Vetl0v>V2<*_)Ga zw?o0H9sA<=oV_S={icx~k|R0cRhyIi!(vuJFzYYlk0+G|Cg&OCG}t;@ftNVwO@B)eA|^H+=c`nWy&f?9vgE? z37sh;wj)<8H>Kdf%okHqHZ3Tc<+3z-Cqbz$I-`_gnBfv|n}*lcvH#w>=)-V=W$20* z72mvjDmwl{UK3B0XN5X{LvR$$>_XBQ!Pq$~(*qc`3cg_kUl8xbc-C`rb`(ZBf^Sy= zlTAf1d3st_W0b5rz;$5yLs)-gTcs+qt6|BdglD6RRS_+q+T)x7_6mxam2k^~S7eqB z##~12h>!?{n}vzEWHDPs&5!CKTL|J@qGMtv@nb=#vo>`BYr~VNhem$ydW)@V&?W(S z8?#ABfhtumGS?#;1)B}`?k3ql*wq`j_Mr8FVgyr!SQ+)WkND;9DZAo+3pB5|A_uLLPPzP3UNKj{Gl;79OQLchry*<<+VdWk}og>6e5 zDr&Qk_F~#wtZj_ioIx51mFk})fl^o<3-53{BFe#d0P!e{s5!0Uw(`u5VX@v|puu^m z0qQeYS98+r7RpL)^_;=B+}2CVwy;Afg=)4DjOD%$2u@z?s&5POvQu}E=)vXpUbm!H z^h~%JwLwxA2|O)tVSD^LXqmXiYWRu4mHa7P8MvY#o_bSMusrE|S;euF=G-Ns>MIwz z1Z@d@!rIAwMpzVLIoq(y)a%w{z9NJEgwQ8&_H1cM;ac9;CUqAUfhIT>9Z9o}@Pk7E z8un{3>Y7-22r^8C1LggAYRk@eLEaNC$L?eP8QhQ$A1>_Mp2A)}?G?x{-`H0qDg13|CPI=5UZ_0{63M#bRY?t&jBJ?e^Yrm_w~_f zo7neZ&YfvMdS=_i*4aH;j2$x^E!g)t`PDr#R{e9Vjg!6%#-4?q9=!<1V7}T#hb9); zp>`0WZ?VAAV0_|IDo~~p07%oPUoj=OU5Gi#r?5_UH)fnr97IV%O|_-W5es(8c3kOc zBO#&(Om^~uCO3!W&C#bB%iQ!0%uOd?aM}!Lc7h$~su`BXnSJFd^zdLexKxZ@mG0Dz0P`n1j*GFs|y|n6oLLl}> zWF%X-=0bYKXy&pPQ65&B(fK}@Y@MW3?3P)whf}~!g@gBq^JCaLqkZk_UopgZ7tr5E#osq5cK9d}Y{wSN9lsNErJa_#7;K7G;6jf#Ed5ozIRZfXH5# z#!z^U=7U|cIrwWKh_-%gImla)J}MySJy^5hDKMvaXM%e|_Qk!PBwYgQUGPLxUp-;0 z!RJqRu*M?TSoMJ$gFK(UEs;m>?8w7qW`-&-EOOSDUzHgp4} zpz*(>D!ya3P>uyI84JQ4m3kHHK{7uTF4>*eNxW9E8IT_f@5Ca`!{oBRiq+P`t8{J& z+?J>}60`WRBq;-sz!CJ|%7M9qa>RJg%ay`S4$S}-)2wO~LOL-D5=OGt{ta=PfOc{R zm6FnneRj;JXA>dMy*8W~#L1RE$=@2nuA>iPzUa@8)n@G@moDHMld@RB)W!GXY_A)U zr9n(MjIT-cQxgM%BbihV{s?mLjcZV8PfXe*Hwnl8N`myrUD4oS9G6-Bltc#R+0hbk zc(JWo-$Z95D+5P@Lb*y$4#s<%`e*Wx9ygR*gtjFMe#aOc0fq6skWRZaBlRJ+(aPO< zUXa-2FnUm1Y>W`Y&x<{D9Lak7r~OmUlZ2Qi;PFY$nBFot{`s>SmJZ1nmQd@5-ca$$ zz-ntU@obnJ4@NC3*h~A+BCO!kupbZbyv1tku`e#-eI0y(XU|R6C1sAXnT9rHQfGZZ zn{r(dg}hD%^kgEP_BhA?!RlRvqyrVcAICcFA=-1bYH$@EgZ+SAf|2SP=73W^t$#15 zkk1nz3K~w_+`!?V&v__nhh2u|{y)RKp7iT5*vu{ot&cLhF(G=A#&KCm?qIhO@!j$F zQ9!LUB@4cj)QzlNPb)L5K&Vdr0IHv_{7!kJRVVN_!1kbm3lq;OhyGK#l8bT9cdGD? zBzwk=p<4n+DJKD46v>@*F9wu9(#sydLA6V|T_iR1ct>a(57j!1GCN>dHy}s$yu}kT zmMnYIinlSWIE;nq3ACQJz^GxJ)r7X7Vhz8k3Ic(^9tkIS9Df&}(UjrnVo_r$Q~XLx z$!bRElibV$a7_+vnL}Rl!rI(S+k#n-L}X9M#byaCRL2-a!u=p456C_dX-P@fM{7!Waa5(F#u}^-PNoLxLk_5x+cTS=Jm7h94zo(5iKx zvOMvgL<_2}cb_tZI?@-sa%#!|zTL9)cZa&)Ka%AWnedh+b-`KbDFTe1rjXWjw~HlN zZzvVZ#;z%rj!Z1-X{E{}2cnb^S~g2yqo9Yo1kM&4#S9b2w~oi|_`^WR0W(PT8&r{#1~P*v8n~8}381Nv-Z~>`cRQ=-WxF;I^qjc!D;k2IWVA!aqu)r zl^(VpZKcQ&dn&L#A9*TVddIci!P^r`&9#Gd@iy&-aIKSw<(j7T!2U}WKkMX}5wu=C zFRa}N8`wHSc<{71a^T?;ewP%y?O?55YZ||arI}9+v|Ds9j5%u-<-UYfZN2cp*(|Y2 zcTp;h9tv=D6hb;N%HaOQ=7dd?<+^HeaANoaw28&?8?cT-Xd6J07^SBaP#%;-T!itW zjXvlMiB=-mq{D;fE)MWfbj~oxkAbVY08Xw1#E@R+G#Wj^q!1srYu&SENRxz~o`BNj zNU$+KeQC2N=a*7ok()HjY?ic$c_!3-Wy{>4jGcr^4VHsqmLDsu(X<6g4WrZwD*->D z{crJbhBc<ql2VI$H|MG zXY@_Py86Pk*#t@Lo3R?HypR*9D}VjkDT)7H+$@l@XJcM|*ZontWP?FpE#d=DSCVl2 zkV*Adf+YOe8K2MUSF!2g7VHQ=(>XscXZJ6D2un4uh{JiDURrJJ4D5mtX&n7v;5tqM zNjtKCBhy>t%5pbxJ;4~-#;YK2W#uV~fS&g@RWy;)dGCd>PW*#~7T}vZADL0%$10mCQ%XU~ zurp>o@#2Ur60h|114^Poxkk@R33P-{pHqZb{(gWt%;C8KD#=44FJRLNoGcaSrY174 zuLyTZ13O>(g0G=2*$^JhSBZ<)eA$WQ7AUkH#4~ps0FNqu>G=@wT)0}<$Kt}trTO7q zp^iiJ?fs3U`bx~ph{!cfunmc>XFRf!;ticYgQgjPrez^ibYBr3;9d$26q9_Z#zJgM zTV=;WgU8XN!|#st8_g1mZ}M)x^xTeODHymjZNc_2ygZ*~um} zN+;K&LcwI|h#7{0?eVncriT-_B8+aEzv=K$MbnL8533r3&)I@Y_Bx%GeZG zo?jy1PB|TkR2$uyFsp6ZT^xa_`!uT~-(9PGnQ;}SGI}wk1RF*;d3xEwr~XGpW2SbD zKJ(&$8FzTkr|=-)^a8Tf&D>>zeG67b^j!~s^deWtG&m-HOg>t#1)%svEgJG-4+M@5= zoBYJ!4FSGO*8$dn*&YPS8h}?v2Ti=L2x7W}FXhT`rnfM1!J#d{K+qK8NZN*JirpmR zjM)xMpAxm1*!qK5*2)8%foT2Zw2z{D6d#mGfps8>l5{ai-#;Xu0iqP_u^*IyCoYra z>aSpLTIJQwAxib%%u0F-wD*QfqH}<-@#Lc%whqYvhOM`ANaMUh?hsASJ8#2|1H|)O zn%P&dEE1n-h=?*U5`dRDIw-DyLP16ZDflaeHdc9IFvjW#P+|)qzTC87456+sks$2k z4xAMM)#H`D%$lF7)92Z}L69#W>GhG$>sgFi4mYd~NbufY*&Q2)W3k4Ln^%} zmbGTeJTF`v9jzp{5K#iYn6BrtCpCG$9AQNNrX}h2+=p8-;i5>^KJL&v27DblbtjUW z{YbWjsJruoIC0$ z6S?j>#@PxKEnv?$eG&EK@UjSO$z~u^tPuswdt1zBUe=ig=XLXDrXbq-*J3@Dc(DlQ(zkCB3j};U0Q=Ci&Ty>P#^*}l;3S~(WAzP=2tN#I4rLqG zX5qsLP+6&hAij;$o*4KuV8qR#d&oL{ZQa@CYAw%g0lhue7O&mcJLYK(k_xOVTU`8v4w!_N94+MGr=NB4!ba;mCh+qW4gk<*gD_o;iOVY=ruh>T>g@Xh2BKy3mq?|!v2IIH$5i7a$(yy0Rd-vU3!^SYds{@l zC`=ypUDQs|7OY){t==C9bJgxe4MWd{-)3woyE9e`=9xEQFUwW=hgIrtkWaFfe`cr3 z(-rxZ^h8FP3Adq$tF4EEwOL6TKQJrugTvw3pWc}*9kuX0%}!>_QAU7Ymjb}xbx=4- z$U949v*{~1v;`Oly!IUK+#n)`UlX&9V%Kyi+rZl?XeK-Wj{+}O+u-dwE(1EX8wR>a@k_pH#AG4@8SCrWH936IpLlZDW-e24k#_ z0425%;-_reFvj3gkt8Y?OHFnuT0;`w`{k?;n_$u~oOpg%bRyraLhjwb@W8Hr7_IFP zW)=9sjK^=q-ZK$<>Ux}wcQG>dmc;Qj5ES+IVGRJoR(}=|89>nWGePAxxu@7 zK^*E1D8qMTH;JL#~akEYKG3%1scwZ}vAn?tf( z73a%!1OoposFg7*IE|o+8Xq<6J>jk6`FMs^&P52|Ld`^l=X^^f%As(GNeiY@1>L7P zO4A<*ECzP%`-0un@UW9 zuEY0W7Gf7TR5wq``qUdTWsy3F5}63O1J&Ud$~3r{UsJsYOae5yGj3Aqt0ZMZ?7`uh zB8ERxM&a>Ai}z9}Sac)YXhvU3J#nFcZZS8-yG^mDfb+OrDqy5%-iQxt{2$7lzwqw#MZv!A!ay&U$@E$@0Q>I& z86HkaZFdr3*ebHd504QZ3ZvlGfsk@)9+6+l%W*69Chjg8!>}2|RDi=zD7SA>Bvkx- z4vS(_aA*rKQZg+`zdd5>pM&w3*W{~tWrW!ADIoA|#$Yh6yI>X`xgMjDH-AF? z$|(J%u!3Q0eCD%}RBLD8Rpg)Z5x7bC%Qr`pgFo4ro5Aj3Z1=Yvv&SDeI}A8%<&Y+V zLMHayBzpWyL%t*Bm+w{>9VyG^Cvu%7Xsg$iY4r31Mk4SMM+bRjOg6A0MISKJ&MLQx zF=m^LPUClAnvoc}6gF~KhzsByiz}u$nOqo0MdFo zR>5aKXEd4q!gbk)?U4Odr95mo_LDe9&&FK&l#MZ@43q@SWwW{vu{mT$-#m<1z6iYS zV5J<(#13OCu7~k8=&YHEbU>1kwLo^{g|9ZUg#Iix347WmSvT=1*28UOQ02+JixGyc zX*52KsJbLm(Sv>la^kYNY&?7Xe4UWzqSf6Pw({$d60L-x=s0Tab?fY_a5lK*xHl9n zV0S8{Y+E5y$hNWsGc9A-uUK?ja%icFv4dwiw`@FJmux>uLD~K8e9+V|q~8Z;=7mBr zA!t4bk1_`vxMfsi_Me>Jz(lJgY=%LapaZ?=>y(ec5CkLO)WDru^zTw^J7qbMCJi!a z6dLnZC-3Wmx1_ffylS_VV_(cr_PJw|O;|nHFa_f^lXKA)Zb4QK zh=CD3D6SFPNRD1pnUxeEU- z_@!v`BRqbQrq=X226uw_Xr1_SjFppYLg7##H$k5os=TN`EG!B`gtH>{aW77JjSnqS z1+aPsYI687=*`5IoK)7vbhdV#7@U$XDbENiTDO<&nDHrm%qH(CDVs2VucU z$XOTzvqto&Hbibhy06fB7W*kwew&TwF>G}s`vaA=^RV0H5FX3NxUPWnO-+`if?Dr$ z+cet%(z>g9mS5b;IN_>I*A^Y2j~XGCV@xPwy=W8B4QNxQOG$vV4HpV6I1~Y1i$ppUW5gJy?JV_dGq0>A_Kh7+m81_#XHe(DFcQ^lKGG+!FJErM?2&vXv&v0hS`_SrL8bfyLFoZt zNHh9SipMR6t#j3P?hTgq?rbCzwZPIx2dX#SfNy6{9F-fs80^OzIjF=w5=QOZzb4#< z8WzelAS}hDC#-Cy%EE?T4~TAL0cqvnKte*^TML8H-w~k17D5X3uVakCUTo1w60sRL zAir{r1Yg;Y4(6{fG>=@idoWgL6FXB&LIt(_k9Xs{sw;_mYyp-(+nKl*Dgi8$<$V@+ z#jiIY={hqa!MP{U_AljUor%FH&C{NbH?s@x8u;?MWxAUpaP{4PujUIPnR2 zY!Qw9z@=|=a(NQmKl=8cNMt4r-Q&N7Q>F`r7==gpP|PGsA5xat7*i9*RQ3j@j1+CW zdPnIMiaDC?1=klliaq+aNESbMZ74sT!*LM#?DW#4i-~qz$=A8FoGX+nj2o2{6Cn#Ezlh~5%c2F>$q)e($EAOinUd5chy_grD ztY!kV={gmM>o4*Wv?}A6e|6Ap4kKuhfdya`kpZi6G$k=-5qLhVsG)%i(a?JnIH-{U zp%OXh3(;dp9+JpK95?Ag zgSQn-obRXmO1vSgmF_gVL(8(UZDRV%N-mU!L(`S{oKM57Hzt&=-V2{I+AWePj4MzI zMoko9>#OE#V8EsAa>`W02apzcYk-+AK8mXRj%A-+Acw64zVi6oCPq&MO1Fa)nV8L zUDAsHEPFJ$cdi7q5ky-C++|X1OW9s!2vO;Yl)#6$X$&V zgm=0H(0afyJA&L}k%Ra1tl5yvv~B>FlouB)JRS1A;(9SQ)IJ5lxKtBM%<2WP-QcZ> zJ-Kg4j7Y;ojvTsZ-0Tl;f+f%&Idpwu+E}Uw)vSv&9({34D(RWN2o!uazfYhiWS7b$ z&VFA&F{$oO4wwq&C!dNIMBigk1D3*r*5cqq2+~)`>>})lwFP&v=XeKq%D|AxeNMu6 zqYm#I_4yE%Ej_^?0v2uCz_LuW;o3d147MX$ePr&4ARFp7>xB^01*kg`D#MZD=Ci-x z&=z1I@HudFun-#^8^sor@C@4=5H*Sy>XHuQM4VlCW&vRkU-|5r&ZpSyu+w|m1?J!ml4m2mCmjlTB$K)m7oaGBZsPg6elEF8>T`H>9{c^r-P2>JMlCE9^g<$0n#S*CjMEEs68pOW8*VGV0%0Nj_ zDA(v=^>qIJvbn@{qnT0i96w?22pJ{7S?yuMg)A01;bVt>Wa){ z(s(4gQm*3!QanGd=PNc4OL(~r8Te*U_ctbUYf!{*k7e!Nrf;F?>f#8sOTa0iXUk$w z!EP`fGGi#w#ZVCs(#*J=cZg^=6><0hSPPhUw0?emj<^Dx-&$JudfX@3%W-w`@tPTw z@G&sPqspbQu^Xdc2#v|ZAkZHwf%yu!0oWs>xT(VhV7w^u;pp&S`8^*sXz{4) zF|4l~%Mm?qp|_Zf8y3zNN73|p>fn&Xqq4yMbUYGTK2487?$iwo&r-ma7Vm?g>_yJw zs^k>}D(l(JxUc&e`$d7oZLioYV}0(%iozDzNAYg@Igu#%giQ(5X^u?c#!nbEI0xTMaGe5|kfw!#&06w0kP~fr zt2VfV@Ae4nIA zo}^Vj&Qa9ffLuN)cG#ydm|S#K)b4oX7#>vUwaV^i&+_`G(e-uqOR{uNlTUZYtK+C4 zc(+x8-7HiGrya@zBs3!bgnvi{K|q|)hD#$nRHv~ad2LcJk)3G2$A>lidf14p=8oB? zuR~Oa`g?^i4LRIC3%d>^*{pwooGBbzzAIu-5e`3J0$IrTD+LlMshGH;>>;Ns$6Zw01q5&g;Rw0Hj;Wn z&QQZ~J$85FuoDbhZTiH3ID8UvZel*TA^qtA8D1KX7LU9T+-7Iq2)aM;YY(~Bu@0<9 zur^RA6Y1{*;$Rb1lA}Z9HdbE!#FT+2BvD~XIF0^}0425%vbohABY8T~_JT{-MCwnW ziTl=Tv-iRw-ab~5g^!>vxu@V8_ylZ>Zw{#dfx+P{HVNZ{Z)g}fY~_l&Px8dOT*~)Q zoBe5!cZD^q<=MaeMX#q zTfcct;2PHi()f)LOk@6o%;eeQFolP}-*IUUh9hqO&*GTxkHPsKcpAuLqMlj98QxS*`ShHE!Z2**KsJYW zV;+#hR%)^oJ~Q0dC3~gu*fBYZZY-(^ba|fF=PVuou>*%x@NR1)pIdavK1@(zwCwm4 z7Q4hv^01~@-((`c&}c~bfMD3_M`}&U3D|9b@|0PBI?MP{UAD4^jSz zSVWn`z@=Fhe1c}+2a{OQ3eDy{P54El1{P6tFPtQq#!nQzQ6xKzP)hoN3mTwy7>h<# zbbi&xGDc(~)|Z7GF`y*Q+)OBgOeK}Ss1WM=Bq$Rro`B<0U<CL`($l}^9Cz# zCF)ja9f>C(%;ZYA{BAyK3_I3p~75D9l~@K7G_!^brLx^ zM#BIptc$w#5*2w?ASeKWdc&6LYE}SyGZZZe?-q;o7~l=NRy+)q z((lmP;yax1=1nW7k?=|zu%kl3Few}49Fmd9WVY~izena*TdSyXVCO!JS)*BSdx9}g zkZ?5zKaO9*1?H2$;ZA{ml;gi3D6k>A&_Evuk_;)@!ckmx*~9LWH`Fy(Dgc^ zlFW}+i|YE&VBnHT9O%&pB=tc^d_)r&;V$LdIlgQ}Cqh)ehY$@ORLBp^NliW4D@4MS|8CFUb1E8icHaKR$2WyRhy&2^Ul37?09f;vT1` z1pNG`k_aV|4;c>y2c-`IzSS{$nnFn0j$tH}`k5PXF9W;r$N0oTUHA`J1OqRnc)Ymk z>kh{&vSUvu)#EM`viv5CIO?&#Kmt}Yh%h*uikPxvU&F`f=c9akZ;4zS5A5RWe0CA+{vkt@KN+jdIMN0vaXD8+H4`2;xdK5JpBdG|(R++eCIDBgL)e?R4 z8mU$d*&o1g=z(9`p!GVk;Olr(Lu6S7MuMhtsmcO2s()9b2F_@7Wbd*5lR}Tc@_}!Yj-YU_;XDguB^yyb5;h$flV-2phzADDF>;7yuKKVRO z@`di_(s^t@8h0iSzc3d1^1JYXyB&&wvP6}ED$|c0<8sIb&xd-sa}f#_)ozw&y1|#ol&YF4!?!wv~fOi7on!0eGIXO zOlWa;{MXPLu8yr>*gEIrI#~1rd1jNF=ik1Upnap6Sg2b;jqUuhykNr`=0=Bsh^zE5nPJvx%2K>SYC=|*Frv}5uDd}2ZV_N#K1G3ry z+JW(i#@OcgRxBF}Jk(lIr|gCjO2I%ehkZ=9LQ$y%$_NQwT-8+exdBQ2B8A5?L=MWm z)3_}gY=XoZtZ9)~g=2RXdYf$4ARl4#%Rd!cKqC<+4$={6pi$%>qhI%RiA8)WQE87{tTGlWObsr>-3K__jkKKg zRd{3(KRkI!g6>JIf_^Yl+Z!GhC#zs-X3JtIGs&`5!_Pw4TRHnc3`oUBWlF}iFdMWCfr2E5 zo+LpTGiGC4l}XPMkNBeWFwHbfZ`i+1Xr%Po$fF#>-3D+$M^D!9D~CsejF4T0efXu! zA)v{jEdYyX(iGxJ+c3>Yo<*+<#CiyX4$KNP%^fAB~yf$Mz8_hmB}_^qb2d zGE$JRP6ulMyH9{7hdO-}^4$gI?{vd=BUcfYUFysTHZ%DTE~dFMbEQWJz_oVBP9P*fKsDrH|8v~NSTPE zBhO}eYN$+PGf>9LAMkV*97O4%om*P2Tu3Jd0|sA}7^f$s2<11)jl6N18REeSm___* zr10U%RZOWPh&pHTkS?~)9Ml*hVKpvK46&uaB14^c!!CM{!dY_uz}o{xt*zskB~v#qtJZ_hX$(?ot_%i( zTDSxvHULV z?x3Pr5NILAlHXJq8NBepeAwth3*{t0JHkXYz93o-pp>p6)%~gx!lBk|A3Pa?3^T#V_YR00@kW!$YQtWS$ zT_g=;UU>)1z{jRn@neHPupNr4dU1GLrv@WJ2#&@B)>UXy81hhn`ih`lfcN~i6SCB8 zC&z8%b(pEUb)lvKEL%WY!TaSZ(d|2PQ%_;I;bCn!tVFNTAUy}~Tf7ITwbxR;fJe|K zrmun(yszY9Usx1*ZVokTNG@@H{?Nw=Ys=zf@eJi$5(*R6k7NSB`%&=lNk~*tl8vG_ z4CCtu9T0cHxF}-w9?8=0{#ouwZ$$9+0fc9Hqe`6r?}IrASwMZ8BuWn;=ddAw$!`i# z(zRilkr+}2)i;CUF4!zab=_Ae6O0+Zvr~QBJS#tw3E9^`HFF=4k*{JE-Ccoa z*I!{pg(lZePDyn5fccc=e7fI0hlY0IcsRF`eh9f-Gg2!5&mMDn;C@RV;D#E$rX^G7 znM>X(b_Ab(?ZEFCwo2>_= z*AOpd;0ZxwB%~?}@R_71r&wqWEQmJTkt|@0SW-JW039+Mv2Dih#1xUpu@pXbYeiQ6 zV9-tf=ADrodly-Y-2B7ni!?@rntbTqt0ZX9#t3Wtkj}|1dX^4da4|IcoiKbrcdz=V z-owvLiu^`jqeCp{UHm;7j~-mA|5X(>_+H->%PpUUgkU6*;rGR}si8Vfp8#eIuffGT zMHLB)u02ntlI1Y6V86t_`TJpVoBWcKSFGT)m$!-dwVopTDd^Xg2}a5Z#!?_nn+3bZ zAk~Wi%wHK;I*R$~{b$U_$9LlOj6V;TnXMBalj|m#xg&U3+K)Swv)MXXVK^ktR)zvu zMGx6Njgq76LW$fE)G#kG6Z#56(q3S@9tp#${@;kXI5dJ!@J>T&C_jqw5l*-(64mqm@P6oM0w#YpM~%~=dZZL!*-YaI2KW2k>zXjgTz$?LP_Jyv$Py7sNs$>W)`m76Qkv6aovF z0Ey2|fdH$Q4YcIrq|zMJ0)<*&lx!zq!6XhEoO+O;o~dft2Y?jwvT$B0Q*0$=*w-RB zSZ58YjvXAOz)sC^{L(=%gpC)yk-(df0+&6bfpx&GE;0mSm7>u@_CiC&q;M>C&Pj)c zNFIEN@Gca1lCOiUJA1oaM{tqLj)0def~r)-Wx*>IN&`$LtPs#}1TD^_bmlcTRtL{t z;Kw`nAGX8PXdfz26qj8C5E~%!W7yEWf;%O{j=_bn2M7QR1vl(AMT`}@u_<_(%?6zr94Z{y9o$a_+{W_JKNIbrbbBc=YzuC)EJHu+Fc3 zD7GlJmoSI;Cj%@*Y^5YCRuLQyzka|RsRI0%6vdCw|ml>>*UmsBQlF*d0oP$o9T_CEjx=o>Yua@ei>NVcTgsG%N2pL&ZU0c*~jA zOmgk;AFZc7kmU4|8r+-_xw*(b>{t>ZwdTYKNiWR&{@2A{(8#1hP--7(hGbpL0}{z zuL7EODJY77p7+8|&18|f;9&F=>1{W@V-WJ$)NL~o14?1yM?heIe6fEPF z+p8t0<8|6#;2xYk18({h6qf5u8%TVs&isf8VoIPxl_m5q&EWK23*}31jnT|4HB*R| zk;;jJHGKY}abBdV=6j#M4{D8}pt2IFr0j)%E9*3!>tCBo2jmS+E9~>@t3lnY}gE zB)lAl`NPMuXl%}q_%`^(*1Fdg@^bR9`jHHBCXX%L75w}lViJDET zgTJXma4yIkJUk4d4+v#pDF)LTx=j|q;d@Eu+j-iE$!Z#5!66C6u>c(bTIB&uKZC~Y zLfm55+Du3v@?UBs8wy~r0y6I3-0Xct4wAwH6kL`eYp^Jmw&ba%n@eEA=< z{UWcI)wGJ@ASy?>xinCdr@$#XGsX4rN~mwb>cb;wUz590yRSkz8i?CC(`w>e_UfE| z9Pv*8yEZAlP{0X5_kJ6J3NZ3RvXJ+FAjk=E_YW1#BQ-g+1+XL+Le!HMmytEOcocfih_sV^RpUN1(kYnL_j3* z!V1C%Ibl!+3F>5cO>pJJCkExS!E_vrx=F-|Q>@oYxGLx3+>cvQIX{p!HU>ZVE{!K} zB8e@Zjgi#VsFRIru{7{Kc+pDb@$|eM*UBT;psjdzOzfL@y`Y)>#q<5{u=Ul}vV{4( zGna*OJ+C4bYrfJ`P|)2I8VGG;<#O_ZgVEniZ>x>fF{l+&h+SYnPiP>t`iSasI3LP| z_nJ5$ASLc!oL9Op`&G`Or|DT%H z^NC$@?V$mjU&!J%Hz%`M`Z<1F#;`S7iR3}44I36@eMlfbfk#_19BW3{`x>PKII{aZ zbipisa5COgP-9XdUd2@4WQ~{vLtzc@PV`ZTy+8O$Wcc1MW`rNWw^?48v_SSIRU3gV z!ckde%Ce*s%+|7X;KJM2owMPkpVag9pkPF|CCV#s`wl( zerPtSaPj8@45?}bmwB>CaBJr8b#=W0w;EQYf2;9MkveABU|>TR>lcwHS~*O1d1 z5E--1$EHf8nHs2wQKrXtIK~t%WWehX{bQWUEvl|6&}!hvh10Zw;(c^NxXVAzyu0hA zLBa)*M{%SyoEJ)nRU`uS9!|lXZEb=mqJm%*_|HUmQaT_e#VPylh&r>30n#w0n|7=k zNPKAk38KK=VZ;suDNg~cEG|-9MshmBF_XYH+=;r~`TKggm>?Mk8qHl)hlbo47`8Hm z-6!M!EEY^0x>Tq(De!>l>?RIR>fWFz1VyzVErzY3%L+wfJ}~ly7y?@pi03q61Vg8^ z@jx($0rl@=$}nqd5d52r1docPBClg(pg~nb86SjbYO~MW{0!er@+ee%v=-EWI zcQJMe#A4~{I~J#m)~#PCNqQ)&Ychotj2XtW{H_@x9l$qi{s~?qc*Ko|$A|FV<-bBm zJnCvEeh$Hys|@ACSZ&=c=inSuq@Lref9Ei9JRG|xug$ptmqD3vx)ey1ALN{+$jFCW z93B0DBN5X<-ijZg$)PQP<*_M5N!NyHMq)@Aa5c^T+cgs1dk)%GP}lMM3eNnv4MUCP z3vez6hBSB>4vONt3zEDL&l$fv_SI1r{*+8g__x<6lkDb>3@lW3y=n^4%VF{H-Pnw3 z*q~n>a&o5gYEr(8@wqQbt@_&`PPqkOZ7v88ziKQz@_FoO(RW~W;*J&lRT*29zd#Z( zY#mIQ_qm_quoW5cTaGcZaGN~b<7R!KyP32ORnMGRcQc(D?vP&B)ms1xU zjQ*y%+HC9?)P^Y{F`yJ`Fp|Ch^;q|Oy_i3X6j45Zej*3HGZwABfPJHX_nqj$MwAU( zlS^y(Bv=rR%URds!{jfp;th%a(21K5G`{CDuaYvUBVmA(f;eoQe|~5_^+h-I(5;g^4bK%yW# zq%@>1sKJBP-jua)ehF?Lf&ot)*Wm*M@p$HFsi+0Q)e2X)dq{n}gh7$Ea`Iq8CjBxN2a7dD4lE(~V(!@Y=cBJ7kJX}$%oI?yZg@}%v(TNK2 ztllCZx7!D{4XoN`n{e_%9|>gkv;UCF;%08glu#KBN#Yso8%AwK?Ie~4Orf*05!|TC zlHgFYCg4N4P%0Kt{ftx-y{Y2@KSu?2$0Qk4eUwDLjv1?dbbP6Zr6B}iQ!?bhjsWJ- z(0ruy$Sj^9GN3?sh+Tw&(FPMj@>Iq#-hg#WD+_N}dlUeJ_0)c7{nNTwRIr=FB1A)tmkI8TO>vHnGDtz-NW{#Mx8g@=a%c-+ z(P;`%(zRh2sUbr$<`~oi4Cmaq6N~8QVw^Jic04ej+~LW=A*_EIE%M?ef{t>Y9*!+m zU%>Awgue&->^bC(zpzu>#Js2;x>nwC*Z26@#2zvcw16im5*+_uD+BkZ51yH=>H&Y_ zx6qCcy<>hN`O=4y`^n=rIlLrqe|O@lcjH`KVm|Q4VbE}68ve*g>{gzuh+@+X`dLuO z6X*xKlYu(7K3P94qq(6v9AOnSy3!^0H^hA!O}S(C?vY^&QR)lS0ZO! zoENQN_e?bULJ+w>grV{Na;6E=cY_091zs*zkuN_wFcnf9z=@~?H^vk3lXEWB?@a~| zRqk5go5y?hU5hbkaJ`JoeJT!;l`yjVhh*3MAMI+mq!RlyAACQf1IVpe=v#DV4D1aU zuC=Ms^XeU?S7@zQ;8w#NB2*U7=B)%M*8V-lD#;l#l3opcd@~z^GG5A}`zNo~3T_TR z4?*pk1YfBMHsoS^i@5P`4p@Qgeg{WHwLu)Z7ers;p)5s(fL%gvSN;Xm zQ}qlGRvl2R=A#t|`0T`}+3_<+wR@_e8;%r5C>=*@29xsOk|TKCNA2NIuE*7OT2!bq<$?uCQq*=gJ^KH%_dZ~9UDci7xvyTAUbkA(>sH%t8)4sWw@g_! zrYsv{z-w-`+IC|^QZ_PpSd)|-VPwN3f)K|fCY)-umA2zy8pkk7mT$N0IE)astlT24E=%vU$l0usY$I5xlBL+D9o(aPv9qP(m;^9T!1%JLD;Cu~1`mXy zrqj^}a#NO;r#=!+jfD6LrxqfI+Zw1i7nn^`#zl>D7&*SNjfb+DuW?=7I>!L6lKvBb7F-!#8@>4qjSw|}n93Yvc494BwOmgp8-vAZ(|=^Jjzb7E!`) zxo}pZS-DOAZcHY&>8h>U+oXzbSD?jQPh-OTCsBRy_Gyru2Sj~6wwL|~JXh;D(BWth zjvjxdEU|p_1)G+N$0Qz)?a@u)?fM1wWz$z=tN%Hk9U5H_%bDh=ydbl3KH`5t;*x!x zG?|4kKrz+*|6!1;m$qB)*Rcc<)O0=c_^yA{Lu4>z%I9Suf z9@8k`yRGFfZ;s@V3-I-^C&W63W$mZlrTOJ^&iVvL`<8>*V<*?y#huqsO|=Tr8x_vO zH@FW36Ze@#E62XDX;y+aN%i=1J7)F2-hkdWibTz!o__k1m}>ejp(MN4VF3yuk9sdZsEY>f|= z1DCI0iv>*`kB(j(stfPJ&I1`T48>@VEVybtKC2;Ha16eW1RHz(3h9X=w%%WecUw1u zP0eegp+CSY!!z$1lKSHt1@$fuDn(l##t#1H)H-{S+s#*L5$01rG4wGp=SlI_y`h{? zyhPi;8DN()+Q|<(bs~}ZWUll!rb`dNvR%gQeM~!3H21be_CG=T_(3rZRR?DIixS)C+T3P3F zSH=Dl<<}a0?=DnBhZBz92Cbs7tg=ScziZqrqEKVzm2F}Lgv-2H8 zn94^d*Rk)G;PadgDKH7%RL1cX=ogD}wKdX1QV|D#!!HmR3yR9?^A~>cXr> zur#y-3k3iPUJGV=;9I;cUA8bU(!un(Q;-q(N?JATfz+Z|unjA=DTsU4EW5_P(!{VN zGjn87{O}g}YSj?C3L<=_2#<4gzWly3j(2GB3{Rzr`;Ysk--w-qoO zqV5z-=oit!SjJDGMPweKQiao&Ba0AY9i}C)MFqtG55E3@{%F}30&6u=8#q`k)wn@x zzu=GF!V9!Cn41?XOeMN!-Y;rV_UZ``il0~vTOA!7@Vt2NrTfK;X-a6*W)pQ9cb6&v zAxmcE=#}1rQo}nzu~!GR_z8y=yPd@}j-b60yud16^T9)KpmC30k74WMC@(2Sg8=hLh%BC-z~76bbJnXM6YSWjmOsf zHDgKL{;F;6L7k_*=-yXyn#{f|r%r6-22nnn;(*o5V(b#(0^^F}=D|s_X!&TN0##(n zLFKX+KZhSd;k3er7)wBL1(*nf;2hX(l>z}%y#E>t1HR=@#*Ok5`~aFpLe(TdTRafh z2pjBcW+hN8*~$2$2vjAvFk}a4hwmlu)R^x6aAs<2TO1s=cIC8<-gJfqwmYwZ_d9rh zu)Nte39^i;s&6n-d3w0!kq)mK%1LzD-K7{;oadD-BaKZ?%$TbLA1vHVwm3Id6}c3Q zp>WG*cUm7Vn1E85S<<%B+HjFG&5-F@E1DzfF+IbGI0(+LQ6sifhliA;>g#5 zVIT}!Q)#63gP9rhQtCgBMb*0zEl=(RP(tm=dT{7E>_XUZw+S2&^0ybbYWzI9EDJ@O z;N4cAt@ywFYmtlRr252nu~Lh4EKu+L0TcJ+nfwlyNO9`u;_JPC=S>AWK0YR+A2kUZR<6~Oh|4}FZbregtpFxr#&Ha8Ifgshlqf<

ua}Dh zOh-Ug`TICJYXi3C9w^BaSH%fF+LIC3_4Gs%>RSK!xpN$@Q?BRULY2( z3#~l%FN3)By&*KJI0x7#k5YO=Qm&V(ya_z_Tq+|c&bLW$j~@u8p$^sY*ut=tKKH#@ zth3XBJ^lw&qS6x2L4@D`H#Xxtkl(B9oJ_Z`kg+cm(4W->thS=}Gux2p%8F;dgOwnN zV)vKhPF{#-Mc%J?u(Aa?j!ik=;3l|mHo|+XSnoHL-G_b(9q0&Uf}!1C7jM4 zV2S5?o8u65vu2$hhDJ$>WD46wEdiN$1V4){K34$mo(b8|pxHj3x5f#o=sSp$V1eGjV2gEKWVJSp*w z-dA+i-}x3uLQ=!2pAtWV{SRKq7m@t^}D(t_|_Su(bjL zUY%0s?SxIjp6Hgaj%ytB4mO04$1P3pND(y`cU-LU-rFvUenKwv4&EI!mp7N8TV@jj zQ>+5kJ24iDlN`Wf6C=)`6e_+=ooVDq7Di1xfU)cC1}c}@UNVg4|k(SkXwvY+jz zq6}7Uz~&n`3re%+9p(?M(%5=mA#QLuCFa4!_ThAf!`49{czRgnP#jg|FUTIZSrFf0 zb-x64e`G9_#;<3>UbqOhyHrYkwe^ljW*U5=PACe-BH9OZV^M$d0Ng);vhip8ZTdE9 z2?YpsJ=u@zqSRz$!9;EdauNgkovRIb!I@I^oT*gswlH$KO&9cb^yikweQ8oTe0@so zbIng#pTJC_lFkxn`9tfH3zi%PKeiMOKSEPNCxNMJMdHZUfnlKX{{%tg$%DuKX8(fT zS;BkB?+D!Ej|W&jNuxayZv6f{QS0 z)u*0A-#I`8%v%jx&7(j1X$haju+=?{Q5LcvU-7?6pp3b<&PdILE>$)|wCvKs(WLge zx9rqP7C1X3vw-nfcVW5LMfntCh(DDBnb>bloTQ8BR?A_LykG8R18oO_haKa|m zLQLb^n`SU<#j*I6Y?Cne5o3dKO~OZGsg0o-zyC5DqVncKzyy!};_y%nCllLsZ(xLC zc`@@6Mo+uj-AyoJ={GlxbJ!aGlXnHy{mBjD3V6lmrf-Vq^166r&!jbteQ2&J?b)}& z#+2X_kGs;-p)(?KfwDR*$Pmg)7m)Sk1$U7v`3F&6w009Xjum7ClYzGRZYu-nHWajD z!^mO)0w8)8Z|}SRC2+EY{48OEVZx5qv=d_=1q+UEk_QTCF!RBB7JWT#&)g8o@pUd+ zW58Sk#yA>bp$_l7E(EtAf~26ks{cX`;cqTJ{=0bizaA;O>3$6kT?hg9IxkF4{AH3l zdSA6SsV@b+vcB{mIwFp>!d5>F1kqcHnRv6cmBu8(kdbo^*rk-hC>Y#KfC{mk?d4F| z+_wo6u&IK}S&;JqBo$jc8pg^TmTLCJ6L@U;aWsa)F%D0Y zz@juEDLfC_1r7yJQb^L!SpT*ersu60q$fjvLI5(0l9CO2VN=~7s0uKOB^zVUf++o6 z1V?NZ2~M(sryY|V9zrc%dn2N#%1ntpuFJqX(gOTi(Gjs0-g%Y08p4ddYGO71-h4A1nr*;Be%ktSTJ< zTA-vZZB0k4WweIFCvlv#7_pcPHshgkdW1_rU|=AdN;7ViHM(@>BVd@GP-{yWGe<=< zAz|`1;j%GFgY4xWg3>XHnq1WfFYBa zmH_IR0hBjB^(`F+6Bn&QLY7wIJ9I{teE4bcZ>l7Ww`sxrP(3-S11O_s;L|6#Q;D`i zcinMPREwpX+>T-6;BC69dI8yzKm)~O>%u6pA%2#Qj%ZtfNFhrHxDMZ%9zIxJYG8(5 z1S||NBrcMOoFtF27sih(jM znV1;%DIGn45I;*tN?5CmJCd8_ROty3+%=EpB%&uky^gZk_{GjqGnCYfHX~46iZy|y z15>~f8cQtuOPoCxhV=pGc<&2kP(%DIGNm*KJpkp!6X-B_mrA*s5HfMp0=~wBnX?$t zD$|KY3SgBi^jN78Pkw>N(b-YiXW-2NmaYIi?fGF4%gc)gihfa0bdm2)<_K{?n3!=( zE(`>r4@*^eC_0B(z<60fLQgc)J*ycZ!D1`IN}()^&}$VaWrrCsSlTi5TyV2sFZk;3 zhcg(vIBFD+&v~4@Q-e9hI++ZL1s7XHjR|58u7;Z;B8Qg3;0|bgN5K2e=p~JyOJqw` zU6hv;p#w;#;=ne}l&k-TgHWS4eM@{EE&xqSc(v%%Sn8BuDG>A62zHbHMp`+5@1cY& zgukJ@1bBr&FhQBn~xCTOU(d{`cyF!q~L8G43Ouz$+dCxt53*X&goY}~XN7JW@+{RdZ_wJVpwd7II4;JSAPYAo8uQ_4Z@?BtiSiXRC`heA~YUX9(P zi`UFcxlsrWX4^Tv5xEnX77nmte(<$2mc0GPrFirsrfk0qWB=5(%0@HEiO`e7V_X!f zJYeOUx5YB~eL?pwk@sS*K61?4TLKBrg0T7~6FitqN$vB23$TA${lFbo-s8j$kc>FkgxsIF39P?}JwwN`SrCT&1_=9JWnBGQ%s*gU zT?J143ePog+3~2MSmO?>o1%)j*kWh?)Z*Kc5vh_d2kcw0^ds{6IV;ayqCxGRU>_u! z1Qu&Z$d5|SBP!Tv&O{^6{|S_f>5uqGQJoizw%g8Qxu~%byP%F3vzJ&mG&S$3|Clen z*bY!#p)om*0_yM(P7hzeB2GMm z<+@z3+r`=;(GYS*Ep~s`A!`DyM8cpU6Q2%Kq!_dI0LBz#p9U100KZ#-z>*FxDd+$Z zH+f&KJJNZ2P+mJ2o{QL0m<#GT@V+tER5||Sbp@<WITcS+O1QrAO?MTgx-gGsRQ*;xICZs#$e&~g^Y7BOFh&4rO-|ICUYs*q7WB}>kt zB3bIzN$e!jf<-PCR(N~5)CU@q8UlhT!4GmR+GRIS3_NHS{3$><+6UCB0QgE%cT&Lx*rxhwyRcFxCkwhi6z_nbx9WdSruNGJ&a)Gpm zsVz$ZZ6D0*!8e9wIK54v`7|6<3#adlh5>eyF6Hm)_q}Z?4#9qkumq$-7=bbgB_dLl zs7J>ZA80s;Bbl%(QkUma8&&3E`9&4AHOYX9S{oW`qX)z4TILxn=o#dKdK$8f=JCz> zLL+`e49TwGWi%Wi>8=f+SP%Z0W)3?Uam~jY@L_`OP4W}4?0Yfsr0ejCGCEYz*Kpvl zEk|{^7gp&gTdAi*NFWEsaiUr<8`p+V zOKrGFVO23pf?=x@{TWMy$hQjdze-(eUhl~AwI;DY(s{DKQV0{P_zeU~E?9)+wAHS( zBy8!H*{gElJ zkRCewSvN?!I#uZv(F|tkXz8Je&AU4?tS$`g=CW(2R~fF$>b0we(bps?2cG;O(*D=q zf<-xpdg7GbfEU%!b+U!Aaw8L=D)1&7S6Se~&jA z|LHZDZogL0U=9FBw>p{P5cn&<4Ncz55VeDdJ;biX_;cT`NVNX}u^OO%%@M9WgvI;& zF01$r9~Bc|_xLNr>dDgzL!2+kbpO!6dyph1 zEEm3149b?@Ci+FNo>&Q@-x!hd(Tjk@C^gE4tzz!Mgx_>gCoHyk$`#^9YuMd}W1FMq zTwkywH%iP6o(Ml$QrBVkf`9E20fVEX zw?3ka`cZIU*orGE#2sSkXaVih0V!h#s>`EYm>)WXZ%_NDs+9{s1bq9Nd0bVMJZMpG`exc9*qFCqWnB*a@exRssH-;hjDh)4Mm4{2+I=Ubcfqk?7ce*m+l*>Mu01}Hu0*(!7y9m6%m zXcQKJIo0cn+u^JP^l?c{oFgw#fLTd!9YlHYQ7|qS6=eqeOUA*=GBd1-+NuyyfnV`k z(q-&s#d11uQpC^0&0VT}GP zihJVHLZ608br|b{@ZI6GkXUeeeYpfm=VQq7kWw`=y#dRfX~5j8ks5izg5^zW2`&~t zNUl0q3q^?#IybB+ICQ3O>zPNEFfN|P`?c1}MKRdsqEW4T_7IF65-@BX2uc{XVlgw4(OtvQt@F;8d>;~H(n-1M zJ~8#eiY)W&I(edYKtl{$>jk|5rocDJJ^!;mX+?g%y%6+Cz>W_DmEh`43*+&v*r@m< zONWy~v@gs#`7FMG(xB7+(wLJw6vT^R{4ojTFrM-FB)hSHyR^jB*6`%dWJzAyj*Ps7 z;3%7Mv6L%*`2f8sxu`!&N0z{rgbo4la;?&UcvHN^_N+i|(`z1@VeX~RuwpxC{LXP5-j8^NR{yq37Z>sw|P)JX3 zNPP4md^hZqh&tGU#oMnfI4a;nKOM)>+*p#>1srLK;mE>fF7o~?){bJlly_iKuYLuF zLwf()tsMA6i7{+V%=8xjpngpLRcpMQ;S#%RDFSJWj+0=7i zP|lJ=nrVuvXY5T0tvHl~j`Vug4h#d`e$_B<{Q=QR@` z;R~U=O`S>Rc+}ZDhEOgcqPNa^rl?6M!O^A4Ch@fHG-wN4%XPYr@I8Zt4ERlIIWZP! z{TEEY&pI~2G#13Vvxx8|C&l9!7>k073OWu)vO~7jmf~7{Ng5;H+A1|SBO@I-X-ez7 zTS^uAT|U!qMwfVtTZWekHI1LK3&98QS%$`V;P4$@XBuxYqlI_jN&Z{6%3R|=kKvOZ zZh2@_X0@>qA9iUb8DDjsgMc40V4hl#TfJMHX`gb%+jQ|sJ!mIPF;>B;h3TM_R%?g2 zv2rd$igz$86{v755EVF1(E<|u>=Ipr0Qy3K@I{PJoad*lQ6`XiHPg7jlH9W-wb){w z*x{I-c~&QcDdHNLd0ZbNA_H}B*vykQ#w`yV+U|K-+%7Gut+>*{-144=gI0?2!nt+^ zJO|(%_9{#9cnujVVE~)@aV->G{4kWSiX=vnhBGx-C4-9B+)~rq^WF?V<6Px)u3R2x z^s*?+g7XXv`qM=jYDR5AaWu@7sYOMj4&AJ1wgN1Ab!~US69&ji4RT92uZ7y&Q-(u0@g3y3v{#cAG2Erhu?*Rr5<}?LYK&$ zex0P?!pza@=6VrU@WG1n#k-K?GY&{#UK)M07M~rL35AuEts(9nEVQd>PcB7(`Fczx z+L=sw&at*33aVG42G8JvUu|w?4D2F&=K!-ua4x`u*%LsrB!)X*ASSDTN{`5E(K7G$ zp%Bi2y$ZTNRChC;AGdlQDF<1~Qtukq0aCU`D57#ht{D!>IkQkc7D`?wQ?p~(3d^7q zeh@vRXSw#7LG>0mu5yYiY9pZXy+RZ{x2_?z17b03#c_I=oszp`PHr0>GWK=I#of?# zvtTgHT3|6F2-f4=aURHt`9QxRRDgYU1mb?qzIpgqNygHJg9r+}Lu*(Xn3fvGS%8G| z)JCo~6}i+Ho4wy^C!c*qghm@#$-p!ngWv7itPZ6X#fe0wlO|UD1_C7)RE3-YvNW|M zbP%XFM=nOr>bi#zvi+Dtk_^#p?itCOH4>+o#6aMG`%S`y^Tx;U3444vuHc5T+KN#Q`sL$uD6>~#eeh1Cs$PmMq}k-z z3#DV&>g%p$5~xgSXdviPC1m@6{@N7fJZgZ8XJq!gPO|`AaVQBLHtxpwK=W;@A`H_D zlBdMU|Gsa*j(;DTe*1MkdP+#1fxWNCYU|An5UNAjP!nsKM=GPU^5D66o}S=z!UeK? z^U|#7j-eo#xUyF~o%mf?LS1eoLw|bT{>w@er4M=PGOppop3vFbH=FSBjdOA1@}cO) ziIbR4JcRw{P-z&wL*^>y24Y99aW?)oKD|>r+j5atwpZ^^XyzG(-%^ubn1+h zTmWOulB;RK-XUnIevnYa83nDQLCcF)`H=gBERJG>&=SrT4Z^2~(JZDAe4{ul$wlDc z!!NFYnZWZyF`@gk-K^ts605C>*#KP%%hI6cwgrKbtsiVb?Mp^wTz(Fk){PqK7Ds-D z=k~|t;O8)1)i@7`XU1%R?$b_zRCLEw^yB!kmtTEVyi`Br_TlAsP;gR>`!IUZ88rNX z(q3E>HP9U?JCk6~q%bcFg*Cr$rqS}0y7=Zt5dZ_pjwiB0(KuO61dP72z$tzv2ex+# z3xI$o6IXI^%~hr0UHvWrEo8x=sO&gg1idAHjtpW0#Eb+IONHBXHRdw~7X+eG72q!F-bH&Y|-|IO2`rBY+hFt7>QI&v+4WkARJF#x;5g z4k>d;wo#^?VEy%!E;FaZoy%;)(=lrwv9=Fw6{!Y;cyIw$Os77W>cfW6HVkA1&tC_P z*_5gM+C-r=py!ln^O3avweziZ<8|_^oR>h_nppwPB>Wsq7;@=W1^;z%QOM9Nz|hcH zUhN}NBd&;)#qlDY1Z=(WU^-exA3CFS>|t-=UP?z=yjEg3Lq={IY(;RV>jUuaJI92L zH=%-RC6*H##gXO7FpzJp(>eVmYyiXu=mQKL51q;OO#L zZpWVt0)DDFtbQCN=Skn*#yYPudp9DgU5HA*3!)o89*@dB=J@!tZ;>$mwelCxNjr#_ zyu2jj*c`?S4lOB_nO3_%-nB4`@IX z{{ah^C2N+TrUdCA(CCg_KKW$rz_bEc^7MniioSbYLyo>tOzmkT;+9uiii*F6#C-Rc zt^UqO!p7y_yDqqNHxDTV#htjYGjBd+jjyf?NA_=UwR7){ISlC!4SSPBJMl%(!<&QX z_OsP8I%FmI(vP9j`wwy8K8vKh?I)4A`a3a|bZ;Pg8Y982cbtz--Se~ZiK(JSi{IrJ zqSqyij!j+k<`V_I>H6_7zULp-du3u9suhc*1eu1Ym;O)&xl5JJAeN3yRI2_arRN=) zawOv3Dh%{@#i7J@*ti?h4CKh$7Z6?YZ=ga(dt~W;bUV-X{I5Q_Urrn=MDkInf}4bu z=qy?`%bh8UCco~Ir)%rv^WiqHNOJcEizXJs)=9fGEat*Z{=5kb;SY}uP06l*2+I~> zKmF-VPIULWO7!H3F|oJY?^U{V^@pPBjSmzT`TZ1(tHdpiufrR92!JV2NV_eSe%GZcX7XcW&QLt;1@avwJS;Th|%nPv9if*O{0Y-4I%KKWK(_~lE8JqSl)N#2wi zvMUYE40I7%h*T9VZ=T~6+4IDmF*?sdlQE25#6c#rtqCsZIkBh*D7kzs5^BqOIG};W zU)wJe;6EZVYZ-I^m`Oyyi;)a|T#;)+5lOI!6;Ib88J&SISipL{BYhEQjnH))MgRws zTPCG}fsK+3WE_t0ZO)WR+-lI(h#yHm)zcs1P{A;rGC7ytp)i9EXruuuuFlRtVW=-M z4<&okTi!dN;HCpCu;GvD%>$GYF#5i~3!1U}Ks0G|ADvij^(6$KpT=^z6&IA5one&2 zYNT`FJjxnUwSedv76f?|k$)EVI5J0+h!#{^u9w?kU+xIg_-ZKqk_6ZYL}QfanyFAY zSn3=2LD6DtD2{Ge&CaI|Ty4wMbnILM*QBl7N8)s}*IzL~h>E7?I%>NOI$5Yw>w^vWteNGpKNdyFCMYv_q5~XeiE)8VExj>jAu(Qt3ylPp zd2sA0V!?O5F_@~sheO?G$FV;Gg3vtUM?oG+#<$Q-Z^zws_+ENXWDlItNEFie%K>Og zwp5k646`8W#JCR=eyZK66y`iYJAO`2?-_>P)WY%$RDk}&oh0$R0+?%X*pPpSX zZ#xg^0B2*^L9oM?@8CSlP#@jz6hVT~-&2`+VGPe*_;%h>QTKZo^#Ab{65pzENS01z zBJpKrpf4c4Ruk`)OZ_*&(!tm$#^IL>3JyoEER$NN2hftx%)JuZZsU}-9peM-Jy95) zB5xkJ`Hhj>Se5X||9v*zz*%$ag@2b$za!uP#DRpwpLnO}5QCWjH^0@a=6 za>atVq53ckdWu~i5hSrNc?d>|`5gqZYuz?b86?!-$3t$)@AZ@FhsWlMPvXr}%+5;V z1!)x!3*pKlw(2SRu$9p#?~mjVw%~)>AEF2^W&Eia_kJXCX?=(<7coE*J#8lNwubeg z%>(OAF#4_gyO6S3*c@d|AX7wrjX;JicLBh2cuilaG? zr(thc3V^MU5x#y7(W1717a}LIx#=j~5r5sj?h!2Hhf;GL;SPzVW3t9jBV`uvRRZ zK#-cNLtvY&pLaGdtpe2ue2Hk{d){fD5G$@0u}I-0bq@!Ug56Dg#v(c-0R{r3#|NOn zKxcc{p+^)b?Co6|b|$O0!AWj5Do?1~Wo78wH-uHa)XTw#zs_l|45@H8bY#8k#wM}h z;Fch%qY(6mz+5S}?AMXfew31b!NTPGdRDjLFx#I`ztn2wD;AIXO#zSjn24X+fdX@E zN%Wh#j8RTyuU;d2&BTP%>}w`Qa7GDw2Iq{+zJoJ0t)oh>37VU-HG^eH$2%p^^on1b zw&`0NlxUj8(vjx1Q$mZ7mF_eW&)USGkCR55d@_?jVSOOf?_;#eBX??-Oc|*xdEWSW zr^^Ls=M(o@%dgyrQyV9|Irr3e1km)Vyj}Usg(aN&8@S(kJ9nGqgS++Jfz1C3-oBW9 zod(boo~w;LTQ|7n`HvJvc2K}mTS6)GbAfobRiAja5X5I=`$PHfG57zO(CKa0mhgri zGP!>v2EpGQHnm?VKp|zcEe|zTv~DSis(^-Qjy%AOG*}$jnz^K#V0pD-I>L!}#482C6-g^-IX#58OTI?)=>@DC}e6G;6&s-L*C0u+K7$w^B9^=)^?it0EY9q1hVn`rg&o4n-=$3 zc!K|Hn*@62koq0Kw|{+DhHk<;(HP;{_nj!n9awFRo?0-Xcb^r9qt-e!D?$1HfwTwT zYrWQMP^RmsS(KXt*;Z(BHFMcJ1g-Tl!$XKKf209JaV_;8JfF-faB@mqVrJ5E{UmM} z*|_=(m{e>5o4Ynpx}CgHW%T`c)2^{o@s33@tsH+XXPnmr zpSd+DKSIcQelBXv&iI6Hw3KddQHu%xMGPn4y*1n_NqHU`Ymf?v;BJG=;zTZrg{>GW z50d zHkn!h#ARN)AxmpFVFfb)ON*Hkrv0H)RsDGfqM{?|24cjS0TwExG1v=rbTlxI3?U)7 z3!XXF9o$n`^T}C*CY4-J3Z{87)_%7o5U)dkDJ#>Kj3r*ucF!bm#oNVMV+1oyFe;U8 zi^x&Q*l2DT0pEwGF~&g*Hq8jbW_~f!Jp%t);Otu1cAO40dT52nHLFi}i~Up_tw(6J zBUDjW>Q@J0mB**7jObB!gr-cPnr?2la(y&rU?`liM|)>uSKO)SHc+@#p?3gFM?P!` zrXUg4@PQQW3I;FDaFHLjPBJ|X|FPHw#8_EIESPXW1;x^cW7?5qzRnH^tWOg}%tP2( zVBA{RcARf-+7W%-R9SxrR;j5!_aIaqq~NvG7}TJ-`a~v}+I%N|H`AZ#sDB=oqzqOV zbfM8hrxcX|rUCKna~9q?vkyQO9xHz3(7>{m zl^TO!=ZxZq5G`mGdSIM%kXk$)j#IJ2Qb)*_!xLVOZtTDj)yO(Rqi*8VKGcimu2#gb z_bwZcetCyf4_%v`pj9sT*`!sXCn~cSyB}MMr8Jcu5wnWk<#o3>8BW$~sGsyS%j?p= zL&Q}$xb~-C#{6)yQ?^LiObpu~PULL7oAG4O;QP&!a>Z^j`f3&Zh1f1QC-U{pk<|wR zBVSU8NWnE7>HSn}))^8$M@)cUs-eLeL#PrE;%Di|OwyFlNnq+6xfqFO?Z6AC$lQW*u+Pkyx-B3 z5bj>R4>SE3wg&6D7590RlHeT@f8t)H(O2>|OOH?T^C%nGB)qYBrNoaBn+JRwwXqLF zp{AbD?yD)9v5EIOd`QWt+_}+CF5kW`-1zoOM8A4VeNrBW)hB;RrfQ#m0J9iBVPrF+ z)epjwUwsYA#AKluZpDyp)P>?0KU3ye=%LOAf)3^OFAOZ&FE0cS$y92CoOtz1MWlTy zvI$-Z=h^Pemir#m{Umfz{h5%PgjJp{VBr7G9=eISk9g$Bx{4h7=k`h(Djzms|0#+I zm>ro@{bAFR-e{&1JS)X+o|oXDnU}^8_DK9ZCVQWFgNgKfB=9g&wzzJ|pK&ZV-Gbxb z-=cBiFvbbT$7J90ULvIeI4W-e4SnW7&zz`Jk<`NoT_Qq0R5zpIAV3zz#nb>QQ(ULs zfjv-?eyuv$=g^v#?I4>YV38PKp3zwBY*=?pOu8B^=5ZHf+ekGKmg}djdO_ zZB=aLJhUR9Si1qE>nrho)f8kPyk6(lV=RP+Py0cd2-*TfdnQ58q_Dwcu`dgi4FU!9 zJ4-N}<4HQu3Z@Z_lR2!m+MTeoK_y^k)g`DxJ%E8QUJMh2{|~8!dT^%bk3Ek2cJyUK zhGbDfpd%Q8&eFsS_zjg&O3*?CW>KnKp(h0X7Gskz@-~XI@sLDRZ1)USY_m>$V9+NzS?z3s>05l~iJ1R`r+cns7k z5~$>&bjSDri}*DZ7fwIoiw9{WoRVkF!T(Am%A}yF#>f(>WybV8NfJFLK@&gOo$c%E!-GcA!!c(_<0nyu5?pPmJWk@&3iv^ zj2w(j<)*30LUiG){X}`%tsQF0^63-02Ej5&GZN%>Sh^U=F-1gs zkh=zx$gNJt;Bf2+Y)3Yi@x(||28u;DS%&aqac~AK%oP$J!k;SB(vb^_!7rJZGDvBq zj5t|`-_`gfq+-=5AM_O|<36w|(})fzp(UY%aDt>}B%ZYc;{$c3hym6B9~JO4jfW6w z1UTx2f7#2!M8gzp@%Yz~H*Z$#d;-HFSr|SC5FU(JL;zpA@))b@oU||QC71ps*#C|s?h6}*afPS|`Q|ZL9db~)W?OI?nS66Cd(H2u`>yB`>Hey;5 zJbPDh=#twW?v&h)+!V)jD1P|>Wh%LtD$BrarB{J#60-IP2uCa;Nm*NM*-EtDT4d@2 zY_$rI%@)>CHqLb=yJc#IAfW_j!sZg|*ZB6LGr+TTZp&LMtY;Bd2C+XRAY;0NaX^-B zHkP4}Bnq1ZcF+tSlz3e=V+E!mbrF23TNa&7lgv|Vx$oFZ!-BC-JUj%8Kg=c?IDNi6 zvd<;S7#(?K;LDk^LXbKmL-;}rpZXrH>9+&q>$>CL3r#|jsjXZA@B;ju%TQfA%j?nK; zjDtl!i2=u*m*d!POMwnP8D0|TrI4PHb+k4;x$+1uk0GN&txNO9Yea6$aMd{@Tak>= zSYW_`YSIIiV4~DOmK+#o=7NG_JVdE^G;M}_U8*wJ1TW%v8XL|Ffi&!#^UrNlhBedS z779QOXCg*(X_5J~Q11C^0o8<637yu$tgb~>@p z#_I`j1_!u=^Or$ox1eile-iYFD^EW#@iItZ2=%}6AKrvu}Fke@B$GC*5&GNW?OaJBk}K@IIwy7u?D75yFfn5xqEJ3TXkX% z`MSW=K8|UQTQ=c6sJmsW1O0aV&MwbOZL?_@EaXhi--SGfZKTk@B{?6e|xmj`NS3UCw6C;Jc z-y{LQ(ddZcH$DA{K3L&tMUtirEXQudNtVT559Iih+{~K~arv*}+QR2Q>SqIMHZ9NL zj`K}<{QFa)x8q=u2mb)#Z@x{daU+n$r`84ISN{HDV3$mAx%qS$mu@$=pLo7djSl0z z-0~u_|LL=Bb>|&)P_Ipdg?%*Kdj0O(NeU_tswUFbtQby8LK1V#r6x7XCg zVKPK4l#6K|bTCxDy(5qpE<}04DM&*Uqiia#z|Ws2O-u?JkR>=A8+-g!VA}>2Qj0kd z3qF4m++>!Td=BxOqnF8bjW^*Ot|LQkOgErC#kx5N;ojkAhuqEBe||hD;k=(?=)P%$ z$&cp?3#PgiDR0Dq`BvTScn0&>`(65hytDDzTnE?=-;bI{nc%^mtZ7SNQAN3j$qz{V z)I0hpXg5N1qm#c&U! zIj%>7&$M9V8iFT&7$2{T@j@#hI-J&Rw73{o&*K3dB7q!$#VCPsV3DaTiH-?RL9_dh z$}MRolvDC6P!?I1sTF@um-Q5Dp+PERr=!2<+_IoH2vAhEI6n2MBHTKmk+yT}uUZR| zO$W7|Ol$dc2@-MI&I-)S=;d?hJS`(4d?!vt_aJ7x6Q^-3K?Ng~;yEy(*mReqxM6iZ zG&Wtu+(iY5Ee1VVB8{^i=kYDq%j$5a8{26IWQ5e8j6p;+7KAR8D+S5~6l{#a1$t1J z*8`edt%D~??*`}{NHw_la?s7Of~nbK@FWVcu9l%K?n4qxY=_k_#){otoantASoS$a zQD)0<&pEUfqx`bbBznP*0mEny4U3aHD0Wt6tQB#)Ncmxau3B&=5|yi9p&4k0NPPm} zwQ0o8c@mmj2gHL=-c2cC?Q6s9w?^WQ{8(xJSRTMS#u5TJhC(0hTlqk;sv;b98-;K#SO z%t?40Zx3Q{;>~;Lbx`1It5#IS)Gmsge6EkAB&eR{rx8LqF{FF@aJEPz$A&AWQJTiP za0^5_{7mDEsEV=7j4!=arh<3br?L$zq1>MAw9~Gt4u|Q5qweDvu0E+S9unBNWC)GQ zkc`ZiASA>y9-k#WeL(T*1uP;YJ2C{k3<6}NPL<4Ad2@7k5iGIINFuU!U>p#GM*FbA z_;&Qjzfwu|qQ{HlJoh0mhOM#Oa6V>tG5D`zNE0ux013uab^$FvG1~z z6*U%=90+R9VBf=k+9+H2!Hk_5_t8I`liFNeXCD8tcriMPJcieX=m$?73wGly+~H@! zrQ=(mjkmqQ#O1FC@j~G~tBX@eFHc#ljF^vaaQ9af}U8gc_PKugjwETdkavePx zDDUmcc0}Yr&?$<^0$5%;;^<26if+l<9<480MD_z6z7X&JR>K6}jugAGxG_aUzXMs*7hx~sjH=B7TtBgxY;TmD zZd)gI%Qn=Eo425~eqQ9SA1+pp7q69xJ%`NDubSVM>eY8C&c8*yoW=O+TrG-@g+?AO z<2}fNY51-%!pDxHGo87C=7v$Tw9Zg1RnoHtI9&s|8kN~`HTp&Q?I5A&`G&(XIN!r! zfXV@<`v_*?g2~9mSHt(*ju0=W!O;dRYAaA23EBGL`S-=yw2QSuTic~?w22(sFkOi6 ziT#EFd`W{#KO^>YNW;T*YtXKuRk&&)#LhuHYKJlK+JtW*eE{;F#YbG_e+0uxbh8%! zWmGaHjYmgjV8tk`CNN58^0Fk*PX7qN4v+^21-NS3U}57>TCx>>ly`==W4N%F8{^&w zDxgJpOHJ9=$puA?u;6kDE_k=cU-2|yUnfCo5Z}c}LJ1uvi_t1}l#4uq#K<%X&tn*= z>J2D8N4O3Mmc<*>H|!i3EKNFOfL8oGxa>aIjrq*U>tKRjGA=uaWH4!gI-rICyx~Dh z{fMuCz^HR;=CxUavxlwa=Qmgk@_~8>1fimvX6ZyJVnig22!>XkqecqaF$`PBktP>k z&k>ge4w|>k{J{f3lMqC=aBnjFU`*?98ikK0jf=E=aQQ5Q zbJ)RIS9AK@u10#lT@%}mw3vp?lu}LG+|)Fo>?na zQCFW&yuY>vRL=(T_42^TpH$co=7HiZF6=4P-?qc%4h%YBFH9zcsP$$vc5^)IUF5?y z#4Pj2P$xf%Z_EAIoU0VagNbrsam1H_lPUow`Q^lK>_=xks&2!9Fe0zE=smNTyad1^ z!nVm#HsZ<$Ryi{AuJ}o&8%K8+!4lhy#H+Cb<47JjS`1sm_;_HF`9MN^5`l7Sx(-LV z9Jl4Gzlq1J!Mj!Dzl1Z zsE#6UUzom#)YHu z;R_!cI&_{$@|D186yoii3uLd9&XT~QaXz#ycDlRSA)`=0D@C~lF6IAWxT2i)0_%EF zR`5QjDECSfs-`c|btC&r=$g#kgq>?7c&vI?k4prqL%G0Z0D$m}5nXPb%R-=@L>7xQ zIIJstl+Q=B$5j-UB;L zFYRHZzeB7S$qT~dKr4$md_M>>)sO`Os)=F-isfICf5|>s^3_oEmcpu~DZjL}{QROgN0}Qt2 zfY=LOsRUNWVi^Gyn>9j}kHJtpx$B5Xc<|FCNGtY7Q4T@E{e_4 zHnhR_pe&r&u1~lN?9JxL_3`%O>nuLkJv(Pk43~!DCAqC|4-oi#JM(lJpnby_P^mFT zu7H<8fQ+meO6JTUj_xjkCAJxfXYIf^l6|z~$pZ{qtMTIt!eOiI`3)cOUMb)UxTsOf z-w#&OV<8F$u^3-n9pyGtcD%MJ-u2iUmgU{gVa+8UoHV|Ag9~;?mALfBq59`JLOqB9 z@uPEfsc-$~IG7saS**62gP%g73xD>Xx*+TP$!*YD8%!GNe_zeV>TutRVdNNSnTcQS0tq<5C%3CE%Oc$Y@4^I5}AWIMJba^K`o{Al<_9OHB21+m<$H|PTo zTogqUZ?aNuOv%vJ5f@M19cqHUUFqY8<+CTIvx;@hUFe74)>OZ z=+1#gXU(!G**PKlk=OMjo;J;eE77AmG=*7M zXdS<`VSM4MDw3QZZmfSUcEhw(KiJ3eFlOq~SjB~%$dTE0p_8-T>_+s}a<0{PBPlH6 zPj7I6{CgQLe7F!yUX5Ono@=)o)L>g3Ld&SrSdhI~YPVuR_K76hPFlTfmHb>UQq}>k z!*|LaJ>?KR0@xn-wb2SRpF$Y6+RPSJUwwGKOCUDhjXnvCo~p^h(G4UIqi&!fou9X0 z#dEovs{_O56RpJ>g-YswMB(iyv69HS_>Q16*=I5+AFm5Y7?#*C#(bh<;32WLF4*sc zXhZHiEyL2$rDP^k3QjXedSmhE4@g-)Q-2XJ3Ds-tR3x+W>xZozRCow+4aLcfc$%yB zfA`sf-5M?EU2t442d;4d=kE+yCn^-mhn8Pt;=kf4fTLDs*qj*_7)6**v$Fbqp9%;PGs0s$UY z#`I-M+zEIe4#4`%wS|P9SyU$!2kteRv_2%ZE(Ngo9I2hKM)sT4xRY_km-Zo6{4d@W zym%3-CFE;3PO>oS=s@ZBVInC{L3L&ZYCyNw`Moe?aJ;OB=tfw@I-nI30cgJSz)B76 zss%q|!&CyV>B1@|2U`%NEsJ-LFey+kr(hPKj!%OicK#lR-{}#A8NfDD#usD zdMIWkxQ>Q<3cIG%xSz%<{VgqiCszed4i3}AGVX3OwOKgg@bh6kPDbMZj4I!MMR88g z#n;jttfsFUuIk~bJ45A!m5AfzMS!jy7HAe47C%Pg@XOC7nb>bZs(on1eIhGq4(<+u zkYI^zM$(OOBvV>0jEb$2@tHb~jpTcdizh^3%w!C`<3GJ^+p3k`Le~ zxiiG_FR*)l6Ax8v5>}|RTzR^n^H1D{Ef9ZhXY?Vg17D9bE+0FNPj7Um41pCtFU8W4 z2MHJ~nL1=;%2X1VAWH{1a)E`QLsVuJ%aC0J79Aypk@T@TsePdt?XB;WX@~x&ydiDO zEYtosoA5}(lz@9367aS$Yzl-drM!;3QO4|vg39&h+2nKI@Y*F)Z%{FA^3VhNPjgk33f#QM@lM;<7Y-JQ#xpXaM8OBvPDJWj)<%HLEEDhGz-* z;f4~Dx5y--W!+)j=9|DfV&Q!Wng1#G#odW$1+lz0@Bi?W+V$JT`2=$1+34?ihnH^qy0w& z8m1cxMrjnyGNyIdmhMu`KiN{W^46LC4HC_W%wTB%O3pXyOnf_-ZlainDGUaqyuA`F z(i5VYz_T4T#IRJrnf8ayLOGj5+R8&RYfIn*+03BtJyU~+?*%A+eZcjhHAz0ZP0x$H z>*)z#8cs8)j}T$e_{CwH44i_LK{}1dQw%+z+th>%)AaJ$mH1#=3vz_pd~<-4Nybir zF_a2;OE4qmr~;fB?gMM2sRVzsBKF}(_5)R7X>vdeVpmiz-eQfcO|%4?bZ9yOul*F)fPu!_@n-Uh^|*In#c| zAva!&vLAmC$1R*h+=q&ImEV8qiB-lqIUnJzRECzJItNrcRNFo1=Y)aUFIs$-V?&J1 zV>y8J1=)2Lj-~i%$iE|SL9*WW*W~KDpI#WzOZaHVOH_7~nP2}`a5i2OZ40akf?7fT z6m{ClE;P^jyFMnID>Ce>c}Kk3;Tz1;S7S(qug;FLz?n{?(9;VrkI6?;nTb;}L6Zc> z3*+h__=?kv#IsuRH8GAJ2I8+Tgiii=|E-Gm3^Dim*>kXA^MoRRb+{M~V~^+#ryQ4V zII#p(5{)0Li^H#(GOT!Si8TBjF}3F^DI2N1z@g`JO$MA?^-ORP& zq=lpO_-{K-c;PIz_tfLY;h|umJ`%f07r3Ol{>?#9p0;H*i~6y3F&8G({@bbUl=%i- zA*H1urn@gVWY3a=$!X=12~|jb?d;L z1ID2s;mq${=QQMKO&+$;88U29kYb$s z%)I=@Mx2T_J136uTz`R#O+HULphN3OnPOVARr=`c4;JJOQNgai{?HaMy;UA%74lpQN?MRwx)_ zUh|lB6Rw^`q1OeEnQOd&43phBCbONWTwi5Pjklji=@9hQKt9YP_G}N+1*rVvKB&^{` z=UnItwH1YROq`IT1--ue*NGi?D)USaF^)*8tJ_B?c%6n}iE6ZeV5c zkcgFnrOJZ2BwKTsE@Sf_Fp6I%5X<)|0*-Qv3L|(#&V_HUx)SSH&2u`h%(C#^kTepH zL3)&!lxG&C5aO-Kf*%N#fmhNHEzJD?LsJ7v$7cMz?)*#-Jc=JcIYSpJ4hae8n^mgI zXcmliN}NE)1-O0Rhxa}ZRc?>vAQ&(YNVXDRO7&3jS0Y*GxFyP{N8v&Tf3z&(lL>=M z6YOBcsmb2!-qb)Z`YGb|6!`~Y5A6UY*j1B7JM?@}j9oVs;eqEU+wm3fkI!QV(}jU~ zIF$MNHfl13R(l7nd$6O)MpW3VtTRX6GLU{lv+Mp@gL5jY-1!^TF0{0j9AD@54|{;XKE9z43Y*QW3w>Q zzc%PZ$_T2GBh#3p+e^S*=^??=(ToHg$yFFfG6E^@{Q*xew4OHpLLv({VbfnYb}ITJrXS@OZg) z7p9Bu`vvS=x;K{j-(TmzYiC{?F%rc#eThmnReA6lEVN!z_t7p6-y1~9SJu1Wz?OyT z*f+z41q9l~?7w@o-aJ!8!~xMmXa662Zv$k>QJsmNs$1Pt-P4k)dwNDKAwhPlC0b%E zu71F>z>wW)$t`K@y+RTeUgNzQ1C|NaA!NYV#`turC0bgo-O>s?@UY_|WIPC#7{A@v zz^vDC8DwHi$lfte#EN(jJMa`6TA4@47_YI{etzGV^>c6CpQ`Ggk%aN-zEzp$oIII% zPG(mARTg;*A&@CU1|*JnPkmj3`qzy%Ew(P z{9j0Mc(WZpj*rH8zfpJmhRWe9zz1)W$gQ@H-s$#IN6MHHt?yVE2^VCWCoYh&M=*OF zv1zRU&H zUrgRRHjs_4WB9NdPD*1H8#fbZM$bp&=3Q{lNW9xJWTO02-qZygzZ85e*ni0;B8ktgR!E3cO>Oh4YQU1 zvh8dq&5J;pUR}hs6ey$wSV5`+E7bE3%_>m_IGPS6+<@00nG0DMXq?Dc6VvhD`;6G_ zu#NedjTSEd%Ruo=@@0aoV4ysa&@SWezftLR`^sJc=%F6K9k# zadU3&8E3d7iRy7ar3~DBTp?4O+d`HuBJ}k_0LG-nV8w@m2fWRcT0$)dM?rL|wcBrlzlo&H64t(Zz^w&1_9Jn{AqGH0Euh5HT!&NS19lQ#KGc zQ{_R4s{vk{TY^d1@5YrR*E6QG9GJHDdZT))f3Fk7S2Qdx;8;#ST?@w%`*6U zD8??r(VWGFz$_W?E69G7WGmVvDFz%Vl{$;=UJ-HYG$!`>2U{AYX=prq6hNPCrUZ(?W#3NAT@f9oeI9N{t(YIqj{1;bXT5|Bn~>2WE0P_(_d$CE=py2w{n zla!M@97bmU1hL%?CGlG&L6Fh+n`ZOFIF|CQ3(L!&e22>M zBd=KBf8F~h?PbsSo`Pfff%jW^>m!quhOOW)1w)QpE|VbZyfb%(4(J6hdX<97i=x5+ zhL>C%PLn_?DU77R>ZFzeq(|Y+i=yUfg1I+OL`#<%PyIm*D)|I@hDH~u7^qu)R-pZ3B#(NVeib0(U6T4i(U)_}X; zrbc;X*CV;RlT2hjoe-hh;N;KftopFnX9eKBbea* zPtwXrg^o{gL((E1ozaM~?{KjSzhz@u*fVzw;v8IgjbKwSK9Xk7utEEfM)K~sm`9EM zi?OPKOHO@H!VJIJ;iQa`%UpsrNd?N7Vuu~X+rx7jyj7>IttUpHSc9(5f{8WEdBKS# zJaBamDZ|JxO8cJ!5_&SmSyV8sX4VNz?xMrvv*HEwaYFzhn~D~B4UPb!97IlDix2D& zTY?uvu3w=!yJo1lPKOiO9DDKQq9kv{T>aD`=Hqy|3@X>GGC^JR3enP>7|WZb0Ln5@ zrcJ_QrrAJ~4WWWfQ%p)o=;=)v$yqXaIRzPM4N*bL9^V;=jN{%aO9BcHTe&8Xdmsh} zK8&m!ASXsWICcxLPd0c%80%JuP^D#w7z7G%M7eXG?u%gr%r487#jiBl&4kf$GQ)}= zA(fCPI90P{@Q^JfUxIPPKMkZr76PL6EJX)MCYKCUJjUoGs82#-03|kwB%e0~M_9}w zC^JDprEoDg7>yw(BB8ku4-=1RWgtL6-h!s61vQ8)F(3y9;WS?P^*zIIoD0T!0qFSe zOIggKq%iTNFvbLc%aGH<&uN36Sb(7;#ans`p@}&$Fjz7nTXA}E&q+uL3PLAxW-X6N z1Cgy55bXjutcOM~md>zov@rpm!O^CXSf=%Iiw4xgICLW7Sh5VFcukfKRExZEq>vz< z(jO=v3xG`&GG+X4X|DqV*E#jNoX}aZqw{gf5Qx!sDTLDcl&L_vDG%OY*aXS3W2Wv^2!qGd4jM5c^B%pU`+w=m0;nlEBI;%__Kdqt}%5sc+6gOEtYiu@M zQsOo_Yeg;55boxDHLl4bhS)eJV=O(4|MY>g5933+&>I0%im$FQqsuRIm)JRzkPw(H z?PLm(u))e>BnjkhQV8*uFbjc7Ed@xmVF1h21b*ZQ8mGtPqD>>q#y$=d z5JP}lP@AM(gJ)r^mLZ%8TG=iHb-_1I5dS8oK~93w#t#})nUmlM6BH=qoh7;m&jkDF z;hS(O!8|A+bPVMo`qC9h2G}mx!2!)+^os<`PX$KQ^KyupaCLT8ff7+I#0usIv<-v~R}>x?F^rS{|4) z5tu~#u@Ka%4w&dRuzYsej~cJa5jre+*Td2@cvfEF*}bRG6t}G_IR4XXX!l>WxPWqI z82~LU<|7tHW$9y=xHcA_nmilk7nME-MtiFv|LFzh-!KXfzsd?Gqs7lD zHdRh4AxBVhWs#6Ik3k^6Vze3@JcSx?%Ab?*NTu<(?@~U7;Gw<8JY&xcf;kM)XYLKx za*>$slv)40C49UdbMl`YA-)tmtz%Ma{YO;HbF&c^a_(C|nCLsm$#rZtem$@T2=B<> z$@}`c)<5$#GSd8coNnkH^yEHk7^02O zRM=NvWW>B4GQJvEIdpBLmLx=L^9AWSu+$bj8{)yb)MT&|?Y$o}a}- zv0tHnVn~Wy>U}SteB%vOa@6B9%~IO1`&958`eV%t<;=DfgVUm^{tpS0a>BnZx+U^2 zZTTZc?0&i9o~v>6s1t;)v3zCLjN8H+1|ycl8o$x19SAX8=lt`7x`Vc2Tp_#evjGZr?% z>embdD1OIy83ev1J&f1QFfwTjV(P*1izjxnPRU5V#Sd;dfuF(T%8J1x1TCq`y~*f3 z`6g~KWC6v&hBASf;y9^bi|`cA(hb*+K!=1zbl+D1m9{W!wMcYiF{u+<#cIowOCx-x zZ1o_m#_eI~s|*GU+eEN~1-XerQVuJ7!5GwF#q{hDjjhX|?Bh*B4er6m8Rn!t;kw8w?V5=vmX6vPzqy`@*y-I25)e2f zuZ~PbRLGufK-B|CnYF7X(WcMIZPI)!URV{4jxDOKjr%}`d*AqKVcya z9hQZO=)CucoRTF8FG0iCP(SXKq|tIFh)FiKF^bp6>kG%Gj#|-oqe@#!D298E-+|LA z@OjC|JmvrjQ{ThBR{05;o>-9CIpnH-?pF}=pJ68R6L@v+FpAmu?U&-a3++X1 zp1MYEhCC zb)f#W-lmup>=%lUoOPkEny_OS5MtQsMZ*`{EM6o&<(mURTV5hYp7J+2W+?`g+10b-Fs)A&j+T4z_nieq)X?Gl!MLS$o6=9wRiYLdn!9<$V!)l(6C|5k2G+Bb4IfE6-` z7U&!ogVRLBc$F6B86GQdsE~?nsF-jDViCq1!yXOyC^ym;X`;8pUek#KuJyCwMj(EK za-&S^G5ipyskj~IWeC_I_!l)g*lFr4K1709Ip`F%sBTP`you3dw7N?Bb^}-w7`1M6 zLOa2}iav2l8Ftq|TA@b%9biCj80)EmiYayzVK;#?47h@cVcyfu$#lq97-uGBbonY2 z9z0}110n-4a4f<(au=pEOY06)#q8}EpqyQRM!5`i(??x=SBZs>H3WC#)l6(8d_NPN zs)67)eZ?rnfEcx~mAAYRZsD|gv-FCUR>Qq<8=UUhgmzATQ65Rq1 zdQZ4);@5#z$H@X9Bdu|Hz`#Lkl>lCox`hwVxQ0b?T-ugFu;*bJLsE{MCk+u80mq+= zgFSg)L0|D9KD!fR9x*j2L6!QOev246&ctiOgLO%WRiHC}-`tL5@sCm{eL& zJ?0c+>Jg5&dz;?~C(e$n8@75TCkZ-EA-WLC|62{^d#Fq8GoubA<>aOaar6hDVqpd$@b z8np%Qksrf*qhmOh>D3L$`rbGLx6YZtqw5!C>3^c`T{ndWv#xg=1tp6%i{u6jTjl2S zyp{S>p`2{~rb|9KheIIitM(oV4!u6Gt)E)dk=bz^S%Jp)Xm}W#VIpt(HJg!{Sj6(d zQBRIwwKW*MMEsU4hWh8P^!=Gz(O{4J?(lG_e@pD+&+M5D`m}DDf>fDGPbol#k|`%E z4V4o`j#5~FL35%Kizq|(5iGH3ByR3Bhf$I%Ml1Hf+pX99!cAfp7ci~9G|Rw>U;Vtx zLUX5JJj!7!R$GxoKpO2TJ%|JiAoyp&7QWfDhnl39fL;efJh>+F*!f;7(kazzMqH4U zUzFCN$(k3={iGM6phe@i{nD(zeD9dxI~rmzSz#ZZeOV~5@kaBzIEt7>@SI=rmcDeN zrdi6AvQnKnmbAj8b%hKAK@p851$S4ol%5>{leiryO>41GYp2m5Vwp4WSCA*;Yr=1( z>9yAA`{<%PV87z3XF}_`Q2{ui<813kXM0+4wN-Bm9>d-UIXfEl(4{@Kut)AH4& zK)ww`FtR=mggGfUp?h@o4TiDs+q|LoMkANBUfJ5T?{kGutWJh1Pb??(0DQy23@2@a zqE*6FVj3g}P2dgz6;Bm1+_05HPY1pjnZ?U#PG7v(7$0AqHB$trhZ+GGPO^-%qLcw< zBaQeHDq>q`!Hy3?J{nyYt~rGhzW7?zH1ZZLc^JO3HXB4>J@Lt8CxJ~1v<1Q)>yA4B zlmU=S@Bn&>YNU?xd$~wF$;!p-K1XbUU?N_X_QRfuzX%m4hE=S#4ve1N6}Jqoi#Vu% z6y_?=Y}=>q*lOCy^P6zRxyJ}}&cI}{oJoO++&k*9pv8-H9^S^-un*&<%!^P85(^v? z1afP8xD5jxM#$l9&R6C!NYx7fNw$k)#)6w$NOQ~@Ka?0^VFj1Pnpn47aC}%Lz~ILS zUMvlpfm<;Z|M1}2LYWyDjBi=lrq&+yT3AqJRm5~JesD+co(C<2m`GvYIiNgv6rJ5g z+|~ufK{4}%b1o3z1p0Zn`;fN#P%PAxMu=p;5D-Ue7N9K`{Ww;y({zAlBh2Q^h=z@E znMV6J1UArmwH z&n1jYzX6-J1uVB)&@pQrtV5cc(qMocL6-SM3R0E8(9EX{gYIS!awj;a+ulU>33Smu z0+s!}tY8{x5i{mcZ4BZ`>12twk12R^?SC-{pi|$%@k&_S^C#xLhIy_gOE-UO zOjn-}`8=aNDmCL>bC!fxz1v1@sp);p;E^w@uKoVYW;qe&CW$kxTTrP?%``+r8LW{Z zm&@299lL1C!Fws>L?tbmGE^VI63Z+(4RNH%JC5B=du~ZP6@eXpZc)kFDeJ-qTHhx?fq`)|%u;}AUZ&pQ(5>sF*YTL(q z@u64>*cTB|2*!u$WDy~ZT|)dVm`gA)oy2JLeoX1FK$~_BBAlc;pZ18KXTfk3gNHC) zdA}YDfE#cjY6*WIhiafk)mg13D^#i0!f z-k3JCu2EsZ7z}nWx(91?+D*RnpyLwMhb%=HS5iyacALRSGZq;@l@Zo(wiCf&F0KGP zUhKT#tr&dNK?lb)VO-INU`PL{(a1D@xHX}#!-2HlMYRmRJrRyz*lOfA$42DMI1TjZ zE9FaTQoCO2wIGOI8j3!etG*hOto8Z!^@x1jnW&U=2*Zx~!u<@Qf{>g_I>_V%tPlB7i?aBHR z;C=Yz5oz$4wVE`aogTqf;F-2}^ciU` zegNBn-}aOE$i_c}n;YK=2VRhFUu$M715 zeOd59dF&1BLOH8CVcWde3zPuc^XW#xq~W0Jknn!djSs*F*!&7qpmm-w>O$adalot$|7=D=n0%q5Q?B#QXNG*piDcB(RAy^KE@xlN{R*#_WVQ?EotFf&#T*9I|MnT3| z_5y?(o5Ka7R@X5$>b74hQ^a(fa1HL{cC$RlUij{TzkN}IgLakb0@3|pxpG+s&M19M ziT3;O{Rk7I{83r&hze9bkB`2tU{a(NKi5H&2am72Ol zFsft%Sb&`$7b{CHDLAqPAKjP~ApJR{3_akx-4SbWtas@~Zc(??6AjizOd`@=*&wWG+i{y2M|WsvFP60C&-7J2c5&2_uFxbXPs z;<>H4Rqh`4J$b-42E*1#Z^CQJXU~v%bfnncHbTr4w>5s($Bx-s#ZX8eW;#o!s2U~}Fp0yyyqg{+&@JbdI4j6ii%jz3!W z>?8l*qLrJ!J-)PoVXHa#Ht(Lp-&%ZR_QF@r89x3nZ1v@f=g#5{Kd}#e4vA;NAuSth zIc55(GL@84xHx_x1e)lQ#ZVT%oG5aX!iLfDoT#L{C_|FqD#0L4%1mEz$O18NA#B)K zdh;kWwFhIHnKo4>%1d8*EU|rgGsLDTYd=%|o(7}`atMJ!A14E)g;F78=Iv44{?1-I z?w>ajUilrJn3?&TF>CMjf_Z!r^4ybOlv!Opd$xVcE5zbe=g2M|LMQ5%M&vKB$m@@v zKW1R#PU7d_+2XKOR#BD!xm+@PhS=@E8@3Y&VbXfN&ERpcyG#T96PTpR>0AXdjefR( z*hkVmPJhJP11O!F5Bn|s(j_X>XUiq>2)>_n@_b#o_v$kZzwKdk&bQu|(GzZsK<)2E zsJm+Z*yf}adG4%su=&Fj)B|aG>p>6mVvczLNeAG7vV+lGSihX5i@U0Gql|IR#t%1< zS+XWgL|Ldpy3KWI2C^Erj^{|2+ls-s#-SyvY$af{=os+Vqw3+Yk0&WU;EnQY>lS2h zB(9KhM-6-d4yLvE5+wwg{3M}4z^oae8_jzeV5+T*r9;>Cj(f0cSE}4#(Uq{4`Cle$ zP>FvC6NGpb_8qRq!EKimJX6{3a2LxW?(-I=#mCQpy9JL0dj~$-Qu8g(uy;=h5<@XK ztR2i2`_;LUIxOi(Rotw0#W%#czLlbFh6w3GBNtl(m)5NX8O~j^R1ZZ~8Mb!IG22Op zRb*G4n0g|NOQzJp{&b~a4jxWqF5ty;FREiVYv5t)aKi5T8Ze{bql@84$cP;yD$pG$ zO{=A-7Zl1907I2RstFB@hy{C>Aj2hG2pi~A3@c2mFxldVrj8XMzyhwBMhq2ve zZgnL*b}>HD@g3}F!Mm*iJ~R2aeD{p?3tDF`3LdEvTmmDVdKvaMqYCeDzRjA|t3Al= zq+jVt&1>nYkTT;2M2oS?cD9H@eq@xVL7@2Fmd*mN6h@4q+a+QIKqaDI>&bS??eM=y;VDNqgkIgSXBkK>v z+=~6ay7HGelIauYMdq{LL{c{17@9-(!akpPO)a_tYjNwVXPc8QW#6k^xKIntr|-CF z*0W#5CgB@@MmaTs^SSVNCJReUHf&vr<0!qhEm>0KDm}5Z*p<6h=!Y;JFBEw4d$pGT zwSAmdPn(JDrDgQfwzRIMk|Ul6tx^wNjl$?;LjD{tXFR$bUfeh!p57&!(%37$UM&yr zwIhO0_|8V*m*ahqVsE4B#}>t&kNX379P3%3ak7uFUUa}Zr_-RYC7D>=yUIc&~ja=v1ZEhb~Fsf=ML9hw{pOG7CM0g8;l&}BsNw^Lvh zssv%(#b#q>1PYLXSqx&9xwx(vyr4CW%ygjsI@Vyq+$zDp!zLH8O*wSvQa;(nq+WNfNdI9x?<3n3`@AQXYbdAnC8N>QfBb^g(m7A zH+ZkRI=qQ`39*c{SR^TQIKUxc!9IWgQ_m%{d_SW{obbM;=Vz%DNb z7h<(_N-vH0W&0p(ooTK8A?yOL-`xDNJZx|Co*nh-dKPxG9E>a_POMA&VI<~@{jnN?>u89TnEN&680Z> z2UL3hg)&+D898$UC%v>!VDabX*JD=kkFB&1V@UJBAIYj=wB0Go4O=a#WuRopluKDD z_;BQuZBt6oJtvAb1}HCm1WRlhiL*-%qaVWZxU8`@C#P{W>SvZpT#cG-wEX-_xd=j;9sDq z--}hArI&hg#b>~VO~Mg2f(NIt<6r(YX82ugxc0}wI}Uu%X`LOeVTmWD1UyTIRJlqI z!MJPBrQ$Z4o=`jYGIdqJ-U=NZy#cHbZ&u+S%H!W}bttii5Xqe{U$%(Y0jj}R<9t~S zo?@w(mPNCK%}twoWbK}-g9U&6Y#eCu3QTXl7aDG}6Ywi`!&?|XjPWXp#IxuOH_rAA zJUatBD7Yq%=0UU)9P7qzgV};W6neffXEwGFW)SOS5Kk@)qGdRfy3Enkh4@#naJmLR zIzF42g=*si0AexGj|bTd=KVeqv#y~47!(F|ug%@VV(})Ld1ma@z?+mLkZar9g|5d(x={!%%(c>5A5+3@snn zXa z$t9WTD2Ln91g}Ex0LlVbio!qzZLh>Fro&L?rne|Mf%nkK+QJWSy81X}Ys}9FB4sBj zTS-%f1f;TfC)|mjvT=yV$wZaGXOnec^wZ@k{MyVRAd`?IaD#FdiDS)SvOrEm(It&G zHccotVa_BBk9IgqQG|}BFA?ljA^06VwPDs6#R96-D(fzQW{qzs%8b^;r5ra&ntZZ= z7)zsBPvIwhi6^oUXth377KSJ$-cn3HUDOvQTFY@!FPL7$3*u*yINgvG)6*JFl8?Y= z>%s!xPHV^MjMm1&Ep{lS(4=G0;u4_agH21Qd#=ffTN0TgDM_2<*fexAg@)LKC9d2= zF!Zj16G&YdicRCAyAY_%uC9m45|&D^44$<$^dynQ3#8hG!6Y1f>@Ji-8yrcrJ_KSJ zED2QKP#>C-s3#%ZK zm7JDVpXLj7U~zcv^8k0u-=$2KG9N4zV8Y4Dlp9B9V4?D_0zaJ+{zRjsK4$=!`pE;_yP1>!?3U*{hoAE+6zJ}xq9KeMDpoBOopythZjJ)?zR`A9bUL#^ojx4Q zcuxiX!pRXYl!sn2?zd3wM*h*qx$mRC#fAtYzq~RhrzY0iDYzq-*TippP^|vhQi+}` zS?r9V>1A*w6*(1?wHm|}fFX?uW0|t}5fTYK1nMG-#F8(ENdi?kcMu^BgWq%(zC*o& zXp^rORfu*l%f0_*j*v5cKPC-Ci6zj|%m2y-T7MOKDc?ljX<^P?nYGo7Ni*-g%a+{QNJ-+B4_+ z3qk9(UduaY6z%JiTEFH~H;O;{=E)g6Y-RPy{W3D~y1-uewAYeP-ROrCzwE79P~41k zs!X@+Zh&=(=e-pAa#)1EHf>Wo+(Xb{I;7x;2`-)x1# zgo<I?&LCu&-HGUq0?Qd|Z`p%Q2bwXZ1z}XDI*Y^a7!0Ko!VES?UE$ja)DCiu>-J$8s^&D#Jda&og8ib>ci0@N^g8`BHCJ7wd!ZsBev zqfh@<6gTwBt@>EWSs{~$$3Lt{E*H!Gx9ml&_QjZn2Sl!gWscIvtK)Z} zEKkl`p+KYoUo3Y`5<+D+ww5&QAv#9BX)x4_8L?>|ELL?7i zBC_Y;oWc-WBAP96?|?auDER0bAY)mj_Mu9>=dK9j_a+iVd-UxvQU$Q&@f+vE0_}On zuL?WwAJwS&DcRG0l^8k7W3=vq{hwv4bGEt~+Cw>7|+fz4d0bORkG1{KYdRU6yFQZC**Ks`k{0Eweiyt*;Yc?^*a46K^&kQaD*0A+_#D}k-V z^*k`K?cP4bqk=@nT*UX2sHJU!5n0+w(8wZYV_lG@@@8Rx$4`3Jpm=zz*iGuI0UBm( zod?WOF1ub|qtVgU!c<(*Y0yJMW9#HlsFtfW`zA=R{ZcBHivEBvAjYW#({Tn(VwGfc ztH{E`@F^^(j>0=F0gHxnBC0WGqy|Pj_gv8?5DJ<2EI8gupNV9-4Ib+QJMYVx2*)T@ zF0@!hgwHMe9D=lELsAY9r~^4q2il?&k4HqO-Z z2dQOVX|WF;`M zxL05oRAbVYqgVzy`6f^F=+cmQs-xT7Kr;+TQ4*;pgWqsg5FMb77&>rwMcC2#z8LSWJn z%>(t%P3qc{d(is?B2a?^EQ6)mw0G6!@gRDr`7&Mq)=$@>B@SEnea|y`ZD88dr_|Zi ze&H-3;_&Ge8hY~P%%VyfGX1KZr(gl*^PZ$mWMX zB<&|=H{{*dJ}ALg@NK*ElGRq%rZekn_~lkx-HaBH!(lwoepL==G-Q@pwY1@^l&Ob7LgvAr*#Q!c?aIW*gW^qX!ECoDS4IyonMZ0>X{JV zLBAJ|%A*sTva-*&#$XT3Eht89IOMN=ojE!+70K)9D}qK5(a}QrILcc^$nsE;e@kpV z{e&%r1+x|9u?qy_uG_e{ZkEOYD;1@ruY?fTn;ZwUwuLEu*WVhuO$?`cmTtg``85iw zs|O4vpTOQ(4a6>?Ni*;pGlLCnsO{mZCrt}X7vz$XmYpv80B5i|067d5>M%-*uT;WQ z=CXTrVc@hJX`*PLB!}7LXITtAbPF3efPnQ1(-B}#LqPRT;ksz$QLNpFk3gGUBW9=6Yjvq~hov4qin*C&Aj` zf>KJg(v))V+CA1OziwdhAY$I};A?JI`~&EfRC&0@@=svq{df|U5j7}Tm~0YO0wWWm zn-+Z><4<8KDv*}Wai|LT7>li*q2@kVGrX1_{7~1^RtJtDK%NrF>`>0DS|Uq=o>CH& z1=t;W5-pR5PU2j2$u5XBr7~>7fxRuRdR+{^byLg7d^l&(og#}7ua3Ut9XwVmgqd%U zrdjjxvJ@u_SPP-}Im}o9Jl$tTx^`O-*ALdC_#$ZEjkoXy!nO>e14Zo+X`q;5(3E`i z%ysmHQ(xtKme)jGRwA6;AW(22q!nWAvFrTQ&HLCxSEJk1K=`MEx!}(0ESlF#@xvox zo<3XdnEiCPMB#f{XThMi-LKx|xa&<;)}BI5?*`lLaiq8l(T(nLW7Ilmk_j;& zJPVLA0JClAAf^lq89e-$LyI3FlaM1Yjaej%T!a=VnpPydcxD5{D@DWGE~iZa9iQVB{=MnHNykr2;MY50sE?;>P$Moe>g()WM$QXEWj^Mw4#CxJcn zm=_*AXVl2T|Fd7)8+)VZI9AoE($%l*7mL+adCTWKPk!-yD?k5L&s$`iN#b=4ZdYOq zv5b)SLWpeqSW1hjlT{d^ka%Yy^|TR_XhZp5o;1vM`@x;$McgFZ@eXrgFH1ZsTgMwT z8I!r*-UZLXr^MpvIqyGpU7%~XE}fERXYlPRoLV}f_yXS3*j)&&dB3qAK@To}67$Bs zv>p;`_Xmc;XcFh3CkN$EnFvK>3&7G`1Wd|lfU-2E(c;W9r(TNyx`T93UWA@>F=#>t zw@En_PT*;=00UI3kNM&+E@He(5VV#vB#4F*&wfseFz;^xp%X|3XZz)>ec;hF2%!sLQ#DS zC@`JHO??`9&C;yu84v5AI*%MR5@nhxMa|5Tj6y=r9stVrpo+W!Ud$|_=V@AIRySbG zhuOK$mWt5$d0EQ06^0d8k)KjkMkXg!=|i;*q&~lpQhc!uxLILk z%)qSbT<8?jtngTQ1SU1`JDvcJe(<}|^ZoQ*jB6lzkwg71;-SdEr&{~H-c${O9-w+8&|)Vld;3lI8Rav3vF2zY=5 zX)f2u$y_En>ewaaaI~U};z5ICxsg^!rwEZsP=+3?Nkh^g^~Yt5)M20?bZf&YjLa3H z?PAe<$|#@^n6TaiD->$3khR)}e?JOW-i42{Zd?O>{yjb$cg_I`Zu^V9@_Bo~n*Gje ztv~ZUF-NY)C~0CoR{0X7d5{_%V!Ua}HsNkTXYnReMpz0VULomCS!tl*42|KW?Ndx? z(1z4Yc}@d^>k{?I9lQt}hGyd1%pe-O{AKEE-TIBXpnX*gcq9=R6G)B6R5QY&8*ZpkgO?=V^WK5WgBL?ftu#_ zm`&7MH_kM~5Ww35LC#-hWOeI8iY?P!1G)@j10=_@fD0+0Zy&uE1WGb01Mz{hPB|*g(1_*J0SYa{0F;y64rS<8t2n z{rhGq=mIRe9=StI`!DZy(zr=Nl@y3K26xw)f^F5pGq5BPpM&M){Lw#@l>v*1r7ul8 z-GyL$rJWi|E{ZaA08$A`Pe$mrLer4cjmZL$PzVOptaxP7fh>=m&C0#}lJWb9AX~J_zdS3J~j#Z&xk>z60>$1KnbhOqHiiHJQ4F5-~WL(H?AvFoh_Id^*LO^Bw1D)+KxwTg@`o?X&!ZmQ0<#N3F|dOL=J4umwC!l##af30n3b%$mk3m?m|Za7}8L}X z#Li*pb@IzbHYP_R3|DJ&AvOu0tp7a^2KfoRve62RLD-Sim*Kp`H--Mn5gbC$E6tK6 zpDVJUy)FieRP@S1h**!Tc}onRa?o80-I)}Vu%{A~{=v#NBtajY;*bU+p%k_oZ5oL( z2BfT`Nrxe}2#{b4JaIDDV%pZ=6w(Ejh}3V$2a+FMatpNWhwFO?B_)*9dZ zoNrez@qBrbkHL8(@^f*II1>c)FkpQvft4z95*emMR`OO+8P`_nrmBLsD!7%R@^Fm8ttqVyvApU5p2#Cj7|sEywoN|UXFp42OZpod`G zwfn-IF=e1-3|;gKy4*vY6$;XjkUK)_jzSL(YzCvdv9{ae+y=)%u@pk{{MgYn9IMoc zI#WzZOM@|$QHy1{WKG0(o4^CN=QIxJ1gX2?Vp2zTU#OsDSFW-U#g2_)GO5hcwt$`c zArO`}D1jXkbZcn5W#$67OlWCVOkO%(MvkA%zGy4LcVn!}`l zOd_Q4=9qHQ!JbM$-RVltz(^d+!c8_#GFUY3m=;DFcW|;Stp9=ay_)BStypc9+a7_K zhxTCDdLIP358ymA{(#ya!v@k{5pR~VZ(PAvwg2sT?PIk-z?CV)?@c@k41g@mO$jty z>a&zlRtlM2(%4c$;l1%!nDZn@mMpka33&pO$5M3&KQX)z(-*BWbQ$DwU=r@((Wa3& z+5KUej!I9Hz62vm3|l?<@J$ll@eAW({|k1rw7%*2v*&*z&`-We?DgkfW8~heq>dd- z7`Ea_yy57fe= z_9vl-&s>B_p?7*R_6$7jiBQ(=pVkFCx)kf^$@^qfZ-D#B(y603zz(h~<=cd~o43ut zgM(EmOoK!22y4ni_g9@ZY8S8p(>yEIV$+(xoC>lA7>*Dh5u3F;|9c)JqaX>F*<0 z(tR)^5}xVo@|y2BxIheC2ywJpJ=*MP&$_}7OZ6n#N_?!(!PfQ_fKic6bD~!+)n^;YQ!_t!`m~Iwl>=cd<6mcyk zF^+X~0jwsJO>dv*1aNNwrG+16iW3%kQfW$0pU#LZ1~VjO4Ao|0&cyFd{It>oy*(N; z@*BUW@RL2fbVyyPuwh0ZEgy$b#plJEAj9$=Q#0%n&i_7Va zng#dtLj1VuiR1J~%X5#6+liY+Z8R>}xmGl;o{k5bn8<*Yc589>v2Vh?q@jX3%hvXJ z0NPv`Pu9BAQwX&cBo7d$UBuD@$Ry+lxy)PgpzaW%!_xNosQLm4; z{~k+;gUpd4dhU>026ql(U_u6fHvsp~sBW!jTpyykC-WPay9s zZ0@`uI(a_csXBTo_5tFAO{woyv-y(1(+{mnbPGN*sQ&?rcpndzqNC$xZeXgmm)7B- z9EJ6r#Yx>i93_w~A|elfq$DR2;z#U7DS=9{^e_^~n!{v)NG$^;1IwMEV^Fji0g;53 zTwQtV37a<;c?Sbl-MX?6ooeAUJjFNdW#UikGJeN-YvLb&KVE?Q+gHxX!dZcN;>3;q z;+KC-yv_fJ-@9Pe2d?riZr-%0=HeT(qg>RbES=<6=88H)3lKm2aVvxRnfa7;@f*5R zQI?)6(7x-M9)%%PUmQwNIV<#I(mz0J$ftb^}hl+vJ|kF6&K6g{zE>Y zUCml$YRJMip$y!dv>{h&!Lkq~^rNh0y9w0%_W>x?PZ+;{ENI*YYu0e2Fy=;a0^*6; zV5v!KEMPLijLVoz#~oC;^CzM9j~i#s!RDe_2-aP3X{3&#JI%j~02`#)OQf1zls;6{ z^Abs4>}(o)peB~qLDAM_+!tV!MU1}e3LNTcPSTJD*MrOwJ2&Re8xVPX7Cr}k1$i~4 zxcfrRH_(%=HVhzfY_Lm$wzifff#sovA2E60l9={Xmpn(0Pka0?l$UzgE~q^XR&A7~CO)Jr z&t}{5uQ9i96S257*I=@7H`$MMssQs7t&K>o!M=-FJS`R0B|5%=BCwDXm73NPo42ST zsu*lB`TK;rlR~lmEUxqiwM60I7EdN-(H9boU_84Zc4`_u9cw^@g@VU%cV@c{{%>%e zY7^#f0_%Xev9U87hNNEHN4ng@oOS>~2jG6tP z4KqGp*ZrSwPe)_nMEmRhW%7zhT@5skt+nN*MFjY-m19&yR01tCfWbPPyE1MT9>uQ` zD7hG~p~Dr)E(tjTTTY9g%V4fgFV9D``AC?u3-NPFM#|_f1Ctv;scSN@MHs_Yd8UqD z(&uVkFdmtxwhxm^SG`j<+pQ&$}c&c2RTCga<4-Spm*bex? z`2Ca8XiSWq=iP-*d9I6)_s0pKSBchInPqxn=ccn_~er%}y55Ufhxw7PHd>XH& zjyXEg6rD`5L+>V7t@8XG8vX~IpnduGYB7#&rNuAW>zWL>7Krf_R|jp;*uhE(;9jQG zZfTI1!mDvd#yMdvp=ov9I&t;_5IvV$}deB`YG;LX>jtw!G2^UqA-a?2Wty3t%aI z9@`eJkAVv;I%3HbV(hAWYqE}xRc#gsH%Eb95RP&3EVhQb%1zELuyk{dBB|2!#`QjS z4ZHd>H-MxAaFtMDyw$D}Zx7Q>nvGnhd*q%zul8s=j>({&D2q1tsMamAwaRJ3C1BRz zG0sZgz!$1uN>`_r*yXhb^M^CBgdV%G9y`c#$$*8R5*{AJ;15Dp$GIk>I{S-~(^gB> zd-H59w4{x6*gAc5T#nk`(G^+w3Cv1diS39@ocVF`O|o&%#c?<*m*BLJCtogm^rtj0 z3ce0z%LXxh!cv5#gYsx4#jhMt92Hzy=Ncu~K_&qVVu&Jv#n0mBB1!gbB@@cqidJ&c zA-6)bLs_!A!2}Kji@b(^A9S)nSNLRy({n!-SqOn z|ISaZ%+$X(7OaC^Z}}yS?!4by)V~-H+#BW%#)a$$SZ$4z9_DJEj#OuK7eafZKAux9 zQEI)+5EhW$G{FI6HJQt0RLdtM+(xx~scHSZ(#fsBs8$XK%#&%k4{WDB`uNVmIFww&lGrruyEM_UtiNkmQzE;mI4I z+TV0fjOa)j=CY+`MR6%Dl*6R&F5c{}xaUWjsrSY+6~B0l+G5aLsRs+pa~fhAQ=>R& z7AtahFo+S=1|75z%7&|>^bY~kjaSRcTnNEODww`dLO0QNdv?nmp9NMV?X*N&6k$wb zGuI2#uo#A{a&O#-;&Ui?AHz-f@RPE^s8x$$>qGM}Oj@6oF@*JiU3z$^DRdEHu-PJ> zCtjta5C)}ecmSm31%c;t9&Af_9ApQdlkuY#io!MtRbOi0QXaEr^yZr7lk$4_Mb5_0 zZ575Yr-*iQ)t4)?Sv`h(Td>lZ*r*VGP%d)8(Du9#u$$4A=CPAORy zRlF<2_fFj73T9S1JK`Ww@pE94d-=_v{0so=aPCSvqf`7Ufs%_FF?66X5;6%nLZ%Rx z2(sodNgzuBrJ&@& ze&Z}(Zu@zd|FOM#$LP6o^4#x@uPMZv^&b(_Y>mLSNN%4rvShgmQwOZ zB4Wsuin8=n0ZXRd!q69ok}GG0)jmuXh?HVbv0xb8MzmQZ2y3FFBFdPUvY_0s#_!I8 zf5%&KTmU5823c30Azt(8#i)Macs*SI;%M~n11}eydjk5b{kBGDyiarqk$AP2N#nAk z#(w@myat>|Yg6l-ng}dds7#Y9pg@$stQ!JP8L}l7v~+I|+7!_Zz5Z_6?z!8Z?uxL` zEV!wzSlDWrOdJV87AjepQQw}S=+B1Z2d|Yzb4(_@yRg6CL>h*RW2=+x8fNp~20pEM zhOceFh~`zgM@D8JmT=BC5MlN z`7MAOIc<-NwpWBa1qV{6l2^H>Z@}uZw*ANu4#VzcVFUm!N|Y+ zvNYBx9STwN9D4FdnOkkO#QOMU*-v%Gk*(8EzO0bH2blIgRVKR5Wm0TU$iZGD$1!#% zs}0t^I26dd@%u4ZAo3Oh_Ip_}bVo~nCLu>i)s)&ePVzWT;>l^!ktt;u7`zJySwZ~@ zaC{8AyH8B$5}Zfy`#d9Q{lh)WPKv^_3QSmUA;gdGLiC_pjIvOGQiuq)99zq{3h<8kQnt3US&J#fm8rca$CI*NIv41Otd#b-c< z_SfzfIqK6$vYryPeZ?;8ZHo_Tmz*>;gYUbXKoR`O`sygZF36pD* zm6$l`tUU|(1s>XNJ`^l|InbQ%dGs)8-w&|8beeqDdc1jJmyaXU(1`CIk3M#WrX`y* z5?Q@b7h+Ur>#(eugs)Rr*~;b+vHwMLHSQU()#PYj0Fz;yijr(+$X*B7ogq7l>gA~B zPwf$NLuxVjGVd7BVVc2lTdH`I3hgrH>rEOkw5iM8BP_u7w|IubR-`>{n{xrS=|Nv( zn<0k;bLt&J8;b5r!`AJyt$2G9w31JU=^+pIw$_@S)}Ttf#B4fjburd<%d|%7sMqe( zI9;`sF9FmidO4{3G)ZKJwDy)tDf_Ob@QDDbtMQ+{r zQH$ya>pkoCbf#>$`#O%DFE_^L-*xa!IGb9HXammA7=_v*1RLPX+ zGJ8{q4No^A?m{wo7C#pW%6eRYGfW!DfKUvRVwD_-))+b{3jhhKxCbDJ!HIz;i7*2H{2_X3(L(Vo6p;+@78?t8H}W`*=a>7gekk| z3rOdmV%{RB5N3ZhWifOh8nVonrY_R#c&Cm9DZy!o_e4~zejLiP4*{Pe zMEGvw5*66VTl1Z(Atx2W@O{Y(H%#*8IY!bzVt{a3=Ms#iCzq>gDMKhS8vHT~y6jQb zwt!uC^dWW;XsBYSl-F(%jnp%jI`I0Fx7hJiaoJ?+;N3sB*Gz9*pyQ`L%=&c-VR7n` z$Sv|_f4xVK?}AMMk^LFB$P;U-jcqRuy=#|f8ol#A7*fmK%h7$zT?iAmN?n*;a3<|o zfg~fIEg)ASxp|))=R(dmz~ft+VPP#iU;!52uE&jT6vs89GSEk{N!a;phJ(Z4M~#;k zUocgItrZemE^{rfj4fBA0Wkzw)fzyojEWn=;q1QCF6c<|%5b)B3XcL7>|7Z|Q2t%) z!An#IHgoaV7YBBRw+*kp82fRxuma6m8FH%!149Kr-jCjaElj_(9b>qa`uPE7JkfrY z2)WgPfJaUpD>x^W&rDb;G10hyZpo0rcukfy@uhcoJ(;6j6LATBXSdy}`8!L;PC{GV zN$4!Blj3x{6$NjK979<^U<_MB-_pfnlSVmH*tZk5PPXw)Mlegh7$WNgq~0ChgRNws zLTpIhPJvY>4;y)JHQ&~XtMlD z6ZQ5itlohIX^QolI5)2%XsOYB+n*mN9B4}iB~U|(UuS-ko*|&bQ>dXM$#^CqM_`^U zxm+^In!}`l+GHt&=>KQ$U0^Lq&hxP9bI-kdW_Gwdvz#So`H*UcBkF2JGnZUSTG4vc z42SfHrj$!zDN(Ww-Id7YN+yVn}~SpN)%)R0nyYh?{A9hg33R>4|O1nL2S5CZ3f zrT|9Rge&V|Cy;S@7fObP_^b?ERh*F>Sq<%hnrz6OZdZhR5?C7~^g$1n(TnkAEIdh`O@b z8?(2~y<>Zc(2wk2qkjKqyc(GsS${}QGJ1Vr%Zd<*U}5xeQ$!v?ok(Ue%WYFuU#r3@`xJTQ1r zjTH!vwR!&FCyP-*&UtgWJb7oxShg-!wi*n-*1b~Ep{+RXV?>}PfbLkzDr-|GVuQ*_ zkV(jsVo~as{RKw)CB4e%X?g#>GCJJS=Wc(Rj}U6-%&31*E_NIP`*)A*6AowanE!(2 z53}WtkIF_rYX6cmNvs)^1Pg9irm%Y$k+x|@qgz&wLj=52wny3#W*K-EoO@FZV9U$d zEG!Uha#Zp$>E%+stxV(*c4OTNNm}`?tOnEUh$=KEMl_i*;DFeAGyE1klAL$qwmNU1 zqoYpk>onkjP{`MpslKh(tj5drspRub3YrA;8?cM?9&xH|&1APna~XEKvXaYXQ(4EK zW<~Si^M=UFsQ&BB1~&rAgPJWH$HexQHrS~dIrSjXg;{*JhvF3J6rk)@X_Q42i>}wF z(XN_4+)3cKJIm5tqc$+Z3RR{CfApq(o^{hJs8)C}<`adSx{mf<^cu|CrncoN^kg{j zqjWJUZ41Az&3GZ@XZD!5REdm5Pv_X-ZY!6qoO~Bu``{Iew1uj#ZDIP|pJ3U#(`cK6 zQg}35LpSV29HfGu#xF@i1WTitsA6{(d;#mx5M{e6p&qAJx;*wuNaePUYQ!_kQBkc=}5ZVx96B9Q?xAE?{Wk_UG{p0k3TDy^QY#kM`xv-c5X( zmd0(!ShhwY!?DafEC=< zPEO;`qq>kyx<#va2z)c|s(t7iM_jftgr_N^;7Nm;bA!5L_ zAs;@d%>3~kZIv{+UafnjqFvi>2#XPcngC~uY3t4=nj0dHfmqv{r^fwB18=9Nxuw0% zWvd=(g}bfWeAck0Ia{P6!~K;HZ|TwQBkW6!DxUx5*;DE{-k{wq!EINW&a(#`J!N>+ z8JK9`f)4dLNmGT&{i#-ef+&zDdHD%P2E31r5hO+O^Hh%e$Hi-oL4#zM>M#fXu0u&62I zw8DD?yub!k(a+ zD7cA{i;Tc#WL5_^mDD5n%_+tGi8Kk`86)sX{A3FooL!P?3!dOMMb3$ZdYoE`uqdtJ zwssOm7IjJC7qEokp3i*Om4{159EzH3)*CBuQ8vdh&J=x<=&cx|phLT5s-y#hsx4P%juqMKh-?KB zPr*~9-9SwN`{iG|PxlKqCXv~SrnORURa(6pFK^B5Xgx&hv3ZS}Ts7ENH2STn;EJSR z441SRB$%D9e)dO-|ItY{yGMBbWS`;AD7I^Lyee;;AaAJI5R~QC+rczq>seed$e?aVhFTd25H2e&umd z>c4tW+-UG4TeOR_OD9PROPN%;3uxBB=riaN=04Ha-~UN@u%d*T`x7wWHPu`O0*r-u$g%VJCCL9<>(b9KhFd(5-yXWb0-oncl%)*u_X>QowGo-IzY~p#gIS;BzxUnMagz3$aY}Wiz))&k6UNzGz z=@)2-__JgRRP|~kP{Wa9^fZ>E3V(Wv&1Q)WXN_tk1V43?8_F-B`7v*o8Kn;P1w*^h zBPd#jY@Z1X@HXd*jAVA@@LOG(QO8*%CF-sEPe$)nH}9Wg;Avg*xRrNYxoC52$uWNS zJo{7jycSK{e1$;pH|Q*G!<(|ham!{m^p;yv3uM0&YOyRJ!woHX0!^-SVxb<#P`qik zwUhm48zF1D&LFJ;!m<@h*2l3H#jjZ!-D#S2TLzr=PnNE@>!d0$erXu0KHbq1R-SACSt)i*v`3U0eDM6;& z4(srkcFU^<9lF`mA%XMf5c`)tfRF0fWvgv2`@GU&anA)Dah0%a{OgSB%m3#~r|OxX zRKHMcBjm|ExzMpJKm z$gLyHv`xax8PmxnKG#<8q_{!*Nc-4^@I{+6;iy}oE@ms}Kn0)Zx+$1yci1lYMPfOx z3L$C_KT9@&iC9d<*z8U=Yd&EeI~Zx!5wQIip)xe^h#P*sqVikm{{Iz*9A&jwaa*Cvse;tui+;n+)gDM2_c-){%MK%9+o5q37i7E0$0zd>@#1TSs%; zi#ago)qiiBQ5e0KSG{q!DAcGWQ zstLp}9SAhJ&dC`+;@D#*N(fy8Fne%k%Db%w z%T{w$74z};OzpZ!{xzN#EPAn@YtZ*@cED8<~4`rV#;qgI-RE#wKzi_Eyn zX33*8gOo+E%hszOohtpXw%?(37H(bGxnRG6h$sD(eLY8hbZ25YkEu7#T!q+WtNj?8 zS0Ngv0V)3)i($su&5lf{Rkt8BF9wp`Mk%M~lC{-9S4s8=e{l+yR9GxGjPb26Py z>_>~MNu$(w^g*#YBU9$NofcoY^pxc;c+u1f2ivH<4B-lOW385@&2TUzL>sfHPHmHV zDf_m9If!s@yi5bnkJTyc3>K(dnA#;zdH>bg-BCN-3-lI_acC~7>pZR!9q+CX&LhiG zyf|jD$m=uQsG>edE??&YIRTeF0n1k2RW+B;rKe-tZq7()%{80C!NS;B(X6*-03BN3 z;G=JqMzE3GQ5)%xmLqKqvg&7))&?!QKf=d8riIp(LBF-CY3u@;c6?PqWgjr10UT@% zPqDsS>7BTpIi84;Zu>{`I?Xy(;>yd@U6K|xx?Cm?_kTml&0k`sYEh1FL!EQ-dO#_$ zgqxrSkTMj%FF44O``Te!&$Jm?`9WgJ^5lUgtgAKY6Z7(~=vp(q)zWk#RR+t}>Aw1q z`s!$owmHC`vH31Y@X3gYdZ@rXfCf$F2gYNxJf0(dr1ZhI#IjZN>_@IQfa@itM;({A zASv);LmB+4zQk057%1m-MPv&^j)^dqFpq3L}Za?s%jNY%|PI_aap&339)rOEbG=>rh1>-MNI}yCQnvP z>G}r|Nn#Pc^qd))FMU9(i@4i5QbjTPM!QIz{ci{Ns4bR+@n8@Gdi}nsj4v8IB#c%^ z*>>%)c^smyhlKeAO>1P)3f^o_(wIOYtgcFCRwKS5dki#$+i6B!`9*uuWj}+;pS=SY z4&MKL`{$KWa*ticX!Yw`MSWh(+MP`P^83sK6X9Rp;0nmmS6(-sE^)WD>a`e9e)B=Un&^O7l%N$1fi?s2#>RF!uEi z+E4p8U{n09qv7b7PH~1SK({4Stm&4-xD#)@@&;RyY)`yyS~|-G_tDek^<8p^&6YE>&qF2%9l#juM_8zE zho3#u#No5R-%5HlRM_# zyRj2YLuoZD4Fh?}w%a_d6pAeqBdHn-he<$xXv+>ngrc3&WOlDn>I2ALf5YfWb+wqD zp8OQ1v&XL}C5u^2YQH18o{KPKg&vMy1`Qd&0kT6ZL&>BDW zKfPvTKKa+vk^btRtIG#+O3A-`>BZgMk75$O{Mv=vTe#b*zT;t2D!B?VwBRC}F@u3V z(yUl)qBK|Pr!^6tEiypDO&uZ-=_+O?@Jv-Inx+DQ-}tTsLTuBmGUUVvcf+;=>rl{C z3Iv|peu#~M2Kq=~o3`f9?HB5q-x}j?D-WFF+QP_JU#{-|(*K9^B>b64v-c}_tIoU) zbM;IW-$bKzWRGj5{_6)t=S2o^f&};OLt6=@h?obCW1!=4yI=Ji^_gw;qq9$;LErz5 zX5q)`!*9EVkA(mySI{@dXv_cj{X83H?{Cn~S2Qjl4)~BTJT61*0e7ig1Ds~|s^i_I zmhd{K9gr3_VV}mbVb~lBE5JnPix^a}$WP4GaizZrAM+a6qcyX4A zi}R$YuXJpArWPo(NcN;EpXQ9(Hb!0LvQ=pxTl@y|TjjFVC_p7>d~&$5W$O+^6TX$1 zOF9?01WuN~MxX@iTnXZCFfd$U+wlOE?Zysu(nEdg?JDl-q}q~)&@p2H5gCrjtn%6 zaPLf61Q}7fsAj&Yszo%lJRd<#?gX4#iONwLnGWmF(D)_X_Cu%}B~B6SzgV_T)H7cw z&SBZg>Z+Sdz7yGB*wZiD`HSgjx_8KB>$~y5%XedJKKJp(Cz;;)xE>W)w))*t(-i8= z@ch^?<7$ZMC}~K&kwu0jw6P7*p{o4qEAg)r5uD-H0JQ#|sX zg4pLfQlKT}rzNRi|A>Zt0A+;n$9w4>2_9Br==>`RYjtX=M6*+8D4I5Azs)!?`3cT{Krd0-2MlsFGNQ?Fgrnyi>BYG( z?zWB$IQ4@tD@(YTm@yR+>J+V7?hqDIfy<6AS=~tt&Xa5;CA9-yHCxu+iyW3hSc8r~tMcva< zY;V>kXHbJ54i7o~N$?;KfYcWJ*nvrgn?Rt+bxthQ;~0vw+!7gB2ao(vpviS^4KsnR zCO1iV&{qR=h%X6q**a=3TXDD5Oy6@&@D%A|V|DP7qL7b0goj&xMqR#4uEFEbi_A5TBRhz&Ed45$tbwVAp*V5fV`$+An+T%E+ny@Tkp9sN;_y2SO-WG z7zjMKyPXgo4TX{v(H54iBXt!^R4iLb2X#r#p3~~N3t(MAEkFM(pnrG|zB};U>a!Zm zMP$|8R(~}35aONPteMy=rL{#9opiI4dlHy3Xb{F)V=ZRUX9|7q?DcA2-hb7{zxt*r zZrj$-hKJV6#pSn6Ua9{!tGr2Hkzwz)Hf?95IS8Y4DJor6m|efCI_TCLce5AVMO=XH z$wE-23VRzxe~Ob=!8k&NcGyl z-&fYcPxiF?!AOBkx`tOW3T9rZt{7G#&#`9smBi6v$~m^;#CJWnW#dZ6BR%MME7=v? zZ({|?B-M#cWfXT?*(z+8FjS+?Ob)aaqHS@v70L3Gm9}k}Lw6YM>GFic=2IJ!0t6i_ zuF&p|ZM2lehj?C`wn`VMm_t1Q7f`?*=m)tBFlM@jgk4KBm*SeR3Vm#U2;<7+Q|@DwTNaq5Y&y2*(=uS zIc`^7wraZWifk(6riev&{<-HB9uihuwsI64u+X+_yxaQvONUeQ7youVn*OI#YJM4i zq4&{mpC}E8bBj+h%~bc@R(p8&MwYdk8=25c-Ga=}){B~ScIn4>xApYw^mfPHRw0uxnw!bps-PRU!BU!d_n+&^3f^s@i!bBjhhJ3)^EaO6M zQE<}@kxbR)c09OI$0u>dFr+bW8Oq0arRwu-Ao5E*C#dYLgAN-jveLIbm02b{7lw9; zp8fGOYfmsC`@E6^!IXNVJrt^zoQ#Z`9HL!s?44J9FAk0D5oSi-z-g;{m}VxU9h&fV zT9bjUR-ZQ|>-P{~gCEM!IB!BaJU$S`f@tmvb)W1Q_$oLgv)To3&qVem1fGL#FZj#E#TDB@J z8yM4G7*Uf4MJwJ4?OE`eymm4LR0yv*PRW|2q?guk>zo)G4B?wrn#%ybAFkt}-oz2BLHu3+2F8R3k1N^rIGWS54{hxW&7VCzOsN zGC7K}hc$c@ZaLkO>{TOUQ{~Z>NlW!aYna8~sIsraT9LP@aUOTojOkP4{9z}R+8<|% zB<)G-FktN{WvJ;LALJSQ`wzR zNNH(N68@>NDP5F1$P2(5Rch>k7~K0U1lC++%0*@&JfWJxu60OIg0{R19#YzZ4hwph zvTESllzk|7G)8l2Ut>H0gG1Wjb*ecoIiP`eafE$Jsc%ACR)m8ppNpBf{1NZnloNAn zV!}H~CQAf+d4?efg}HsJqM>N-z(T0ri5sn=a98;30q&zuKMss`xZU#fhGY0RXg|m4 zM($@P9qS}wa{y6iitFmj{Djt)onIHO^jOrnQf@_22~2eNbUQ3pE0PA=f!s6w+~o zVk%|yV#7PwO5;~IC6dB?-0iFZnbo=mT>g?|fY1-W^^}_a*=6+|L}*4^F}Td{-Nr-{*JH#HcRZ@cPXm|&XuU? z_!T%?YHCz(L!;%$wN9<%voZH9MrXEG6jk_E1L);1 zs=0bsL+20=4v*2;_A6T$%I4G~g?bfQb$ao71BR14QKK_h_Z~sr9f1q4XeS{fE(q-s zdHXZhP4U!AaIL{jwxwDjTjTL~im&#oUzr?^rrTF`)$Um=Tep>0yK_}8TkU|(^SBNQ zJxysEU=Z0p2{ice7_!8&RkP;{eyZ*EQr5(=qT9M`2A;JG`4;2IAtC7fc=9)fU6S zs*G#IrR;&jkyukK(g~)?M}7!5R{j-Eg+Fr&&9xx?_3tQ(;&X*5)B}YX;cujDt8XiW z#bJFf=)3O4mBn9Os6O&o6x*gxV(1r(G^_ZHB*cA*%ERM*^PDC1s zEt^7Ov*gbboAtH6by?p;)55%&iKT5V?O_Jpt!|Fn#%pMBBw{s-2NLZ`@}52JB5&E; zl5n?mENK4ZaunD#X{F91t2;HTtD=_HaJ;%-HdjgChaCr@=+=O}-#~Bx2_HPRr88Ph$;p zFq)6lS?KJm&w*v|E2$6;cQM!EA&l~oyqJ~Y-NGAd zI!-m>j66|1x{cPtg(L**4hc8qTdz*GWrFVp--q{F%{|3I@$7iF7~ziy!ZLp(;oa7! zKEA}V)mXjArjD$Q5il5@#*d-ej@gSQ$Ps(6VTNco|2PYtKmb@cA=KwMCBhP9YLi#$`+EG5(o1cqGa#D4tF;|Rr@ zcI%u>HNAN?Nk)nc0Qx8J-uEy4)kEs=8S0lKz%a3MPRZUMA@Kw@mw)G8b@hjT3@hBf zRAT|Nu8_T7$E!Qb+-)_~j*^TF2R1{%O^*&xl>StU`LJDtyui?^$1 z(M+%BgZc~FQ?Iy!uUFz$s!Xov(Z5rtr{%J>b=_FK=d`-E%-vSc%ferssw1m7x|Xb# zNLIyaW>Z?n<5oAq!qaCXLG#tDG9-h%DH{RF$u(pJe?Z&+oCoA%jV_`g9ftHUW?qO^ zcF&y2EY^X#WWUj4FKXLsN!4)~2CDCaD6RQA-51}hcE>oaT982!^FPij+|XahPEKlk zl7kz%nv6Y7i&`CV&>BKopSL7ygH%+#YlAM1z_d96A000Ej&e6~P9=@ri;Y3s){pO7 z_NF(w&w=y_qI?~dZcM1VzN8)XmVJI5vx3J7d&Sm){!Wb2_Y(E&&WIf1jnlyerxp!1 z)p3sKBm*u3(fHYEzrO@TRK!T@&}o}%Q4#|V1VTyegixR3ln9Fw+}dN9Au7vlQM+|c zJY8wjK5cdr4w6ENXA60hM6BTI&lbgd--y-E-i`7(iYcI?0qL%g8ysrWugxMUwo+d%O9P1(5Vm@glx#ItSMop8*)HVj9|l1 z?%9ed#AO>fg5V4?K^t+K@QI3RjT-G~{NBsw+lLpFl1I=q=1*E!4?1|J9ogUxUW^7g z$~ky3H9Vqz2qTW8cXVaAs^Abl`6Q_jO$yMKA8)xe!kJ=<;S6|1jf}zHvedYDo+S2% z6G<#dn{=BoeExXc>aL@&>rvRF;C7%IG}rmv{!({E-;d6b!1Kn*tnGo5*wSQ{))-bn zZy^G{0O_tX4Qu`=tx0*7yM#-V#-<^XHnKD#!)7%A-S~7a%E33sK~*jyeU)e5*ep9H zSL(}E42!4IT~LiZGH^MraF0mCYBA0_-Xtq8T^ZX*yX z$4C&dA;1+um;tdQ`%L`M1GmO|&y2>OzV^XF8*)781|!oZbjMz<8N~9Wr?x!HEBYGZ zXESnxxXtk6L5c$}+s=&eou;w+oHk-!vCRfOLNXn`q=N@mVY>MX?kI^^U}LEz>)>L{ z)~d4P9T$zsHN&{cz$^(#Rgbo_Laxy{XN@RLqta}l_L1ppNCWw;+zQLNd(97`E0?NX zJQRL`ew>l*nZ=2gkmZkFp;9bIuwLTfxwXK z+zK-eqP=c#KSgmehH}pq9t}pJOTk}!bfgad7}@jt)wfGMsB>En8(NbzL=l%FLx5+i z%%=2SfO6LJQe^tjVg>s<=5_L|xZY#V;sJ@_h`nz>s>WWw#lwEl8WLGUcXibvyzWoG zsH~j>y>yr9k9>&8n%0xeT7li6t*N`o^sYT-4DDg!qv@fLK7gK;cNIpbQ*?P1( zX*n!c62laKFKH&(Ba;^T0J#%(pZbCEn@sYDrP->a2+-*mUwewJs)>PB4kVx z{chOgnqpdESXbEf;w1Gtg(vFus@PdD)UGA92`EUQrgRUxPb8){A%k=XSnT$ zT&0Bs3FUPWXbZF`$+xLOzY$zKBz)}#MSzNCqTj7&Ehv>xnUE9-0iG?V(XRf{%t>N< z&y?+>C<+wrfCX1p-5EyKG96+o){AubL&v5aHQE zzHW<8;ll9#CvpGz){iR{LRXB8F4JTPX-8I;vZY+LQH#5NR;Nrbx}zo;z@ZsmP;yJ7 z<4y)$wq95*HWaDre8Je>95=}xI5g>@4QAO}`q87dRaD{Lt!k7W=Gy*oIJ21z}GzBah za21pf@pVV03E>Ovey#hJNh?XZgitDX4O^vJ$Y%vbNw&+P5y(DZDs*2#>ateQ?v_m+ zm)3CGA6XT8WcQxdISFln3^yZNvDcNbA`B~|yKG)fWkReeI_agJ$SnRzYz6PrDSmC^ zHhyXPLQw+m0rV04=8PItTkr(;K~g)hP>)k9Srf6%8g6SRL1Zz9Rwnq)M-VV1hE3?T zkRdOC-Ue0$ZtDu!KxA~d3O%}t()v2b#-uR}^8IP-eT*=cVYRuI|cTBZ^^Uxl*Dabg4(4`RTRY#`V@@0QRWjFoNREFCI zWT7imP7>BELXa0Q@>Bkmn*v{XpD4}gm(N_A4k*f2_6!%YK)GqH{GcK%xMOwYkc=pu z(H-uf(N&ugwRBh5Q3KjG5(M`9aJH9qpn6%RSF(ygx|Mp^-Qc_2@mP&7Ur>e@()aBg zVuq;{P7cG79?sNKmX6DBI!Jq@>W9hiylz)Lzm0pWQ~P>RKXNHa!fVP_c&tllP_DUY z9Iu#d9xfW|S?`Eqh;y8q%&=2xCekD;yRDsgAv2B)Y8{KKQDmq|3x|KA<~P3j4LIZ2 zf9;}dLUE^CamDY4&~9FEfi1cv@$hPxa0sT8)Y%!F1)+Lpk-T=fWQcQ0S-o>rx6X;s zVBsTUj>O0F_1JR%nGYoMs}2G(oH%j(39}skYz= zI38*zhB%K?D^ZdnO@L<|`PzafpcBr7f?q#`x@qzPuI}d;s!{OyLpXWY+op5#(=UxZ zBT(0rXDtZ+inGgok^);!Bk)$XwiEa4>vlp|GhKlKA6DR1cF1u`m5u1mG~Fs|3wUQi zs2fz1A-94UVa9`yktDAOv<1=%Z_7b2K7XYc&8M#&j}AUn*s~`cRH;zGbDII7PLjGJ z>sG45?iSwJT-NM>WME6QdN=knTo_jR#NL=4u+VpcDV-B!3M2+rxNH@CAMY-mfEtjT z7_!>RTFOG^xLgmz86KqV_QkTvI(JyAGVqL{ScQe@BU!fMFT{3Ib*wR+!d~VYeR8YU zXj*_jnwka;j?ig~1`vG%CokpQ*0jPf2;FVQbhA$|S08_D5{7V#%hr3)s=Kc|0RdL= zbuJmg*qa=bz{ZdLlFQb)p&gF)mE4Xr)thbw`DGUl-r9l&qr^aifWb4=qG0cfI9K|^ zSBzyY7dxPuxI)RIrl=Gazga44mwHi}Vp$&8avEMC=`Be!Ai}V~418!XZ7V$S;pz%o z%~{heR}$71_Wf=HCjvOTf%{9=HcwtZ@$l8Ga`(oLrV0h;-;HJKt9Qm14<6ncjhoO_ zA}dQpD@kfcX5A&Vh6ETs;(QW`U1D`&w^3q@edHT|Tlut*!7hIsX94tm#8kaVb^`ty zTGoV=uARns5(ArC*HN|xGi@P(uwUg$V3rV%CDnsbh^0HDSt5cS=s@kUY$Q2NrFldk zFbCXIycQcKQ?!&bxtuaro+qVIFBHUHv>=zTtkHNnZK_5F-|qpXh6ORbB(=oO@O7>$ zvB1{Se%~G7TFWNJ19nQ^ax}N}3=y?f3Hu+`r~oEq1+_0m8CxeABO+yPAx|}{u?O)5 zo++`}m1?R~wfX~Y3Y{<}3HgfR)W!K!<>G8VCo&wXFl2ee zvS!%C8ovyhm%sv0+BTI+ZNU@3$HIw)dYnp$VWdeD;#o&N!)-4lIauk?&Z=HLfoCeg z{^vhouFAznwK6~biqWW9Hq+~NQ6Zg>^xqeubI`4(J6jR%09m(-LzD{9Z8`jfm^v(^ zodsXuwSorBZx^RTL4sx-wE-1pL8xBG1$^n8moh6 z@uC&3JMgbpiD58JxA>cpP$D<5=Jl8wLTG{{w3X0^h@Z5z8v$%a^yFsDrmXU9<4)Q? zT|Rp;y=K(tSwcpaG@Fd1?RK-9d+by>m=BKc4G?>Cj5g#p<`OcA$oF`er~0E&TL2#I z-Bvi%@PEQdjw-(96_LY^&$493v12&J+9_4T2y_f&)I&L5Wmcn}$3ZF#*3|N$5?bdxFrV%1cxJ=sbeJ7`3z#m7xKqo9=6)lM zp0X!P3r>|v9cBWB1Vi`8R~mNGV{ARPPN_=@;K{?$;)xfTR8^-N{=OYG z{jgqGRO+uxGw0I3soeRL+(HufeK$cr?{TPW%XfZ~7ttJQ<3WiD)?ETza9lrTyHDJNnf6ud{kx*Qh z4I#_ld%U4kS4b1Gs7zStZ!}saammd9JX>Y9(Jl@_jVKAEo+(|yodp8CA}!+86nX7Z zDIHh^O8ZD4Sk-M1*#&xG9EOP)v3i4m!P8XwNB2$D(|F?0Fr&E%pf==l-=Wm&AJ#Dl zmAy7LG!>)1$YMGX*?vT}hA7oN2X)JK&ZFqH#ofPyOZ=BLEzKe($Nv;fH2Xcm2Bc_Y z1Nu49rZ{Q_CX6S+0MvFes_kozGVQs-3mi9hF)TSRq)+Bj#Rx6{rzdtv*rXg6BLsipbAnICJ?}Vo&tq@)K%mY#PD+YH%LQlc6)Q!*4_Tn3AXMpLrkF zxm-)y6MW8K^;eT3q{_x`X*8ba&z5P}^_5#iq{;FN2zyA)U zp8gSPfC%xdX>!}apCT+u3?#5+HDIf57l(+NqEL^dBPlR- zze}sne-|~N7}UDZoL6ULM5!mTv684-uW&`RUVB!+A|+^SSR+G`FmTefn({M$2uI$OJgc2dPjWin^cmo$=c z63At8GgTj@jvYI53`%9HDY$9t>J>~ixY#GB@~lC(eJas3$Fy{wa<@@+o)cZ1j4w_r z`1tPJAXap@?FhHMzKlToL>f(ofrw+geNO{Vyc`D5!q}UfVSu6O6(O_lIJ(416^Es(*5tMlp4Q=R zP8SVSfZFsj_6};T-b8oMz3?nS#tfjd45dK+u}vo%*`y5K!aa1D(Q5u$JhArRWTyVA z>v7QHH&cdux%RVRPE5!vIVd8lQ-Aiu)&X1Tkr&|x7vvz&f_>G zttpBTkeYJOI`YnfFX(ha2p{$=Lnb7P0$b2B)TChlS*$3oylp%_xc$l6_|W8f@rE3yL}-Ez z7Tv?S-Ghp=AXIk(-)$43J&46oF-BeyXbYsdv4Wo}Cgykk^J_{!Tzs6I@vr_+A%^1G zEsh`KH$2=_g4Bl)KS*RfQ>kIBM^A=jx)W*sW0#fscS@9cP-zsvDD&6i6N zHY%8=g4AobKv(>_i@6L>7y7emBEl)uE?d>-3#~|Ex7^_4KI2>E@vi126RG-08t?(h zFA$zy7G=C!#Dle^g&6_*@h@vJ@>Z5z_le)1)r?m&O&xEQx)dx zaK4C=SC3KT(F>Eow?j%7CTqEDl%{37!9Hv|USqPsISBG`N){z_5;2$qUap@+oHazr zQZ%pwCNtdDL$6MF{vRD1{UkWkgO91}*q>8h-#PRU3U^zT`ps^2AKA!dMAksJl59mG zk5)8)+{CHunud+b&TcBSv!FZy3sXC>P{)~3&r>2JMw&v;I`W={Iv(dls1k<|5g{#s zEualGDA@bMPnuib_S8guakewMU^)L@7eaCE_FKN64+)!vQe7c6#48o85RxPZ6e63~ z8@lTuWiJd18lI2tcr^`omOv;;QPk~>+TCvZBNHm6C16F7Cw%@!N1u zm7EX!J6N*(eu8cJXOzF6_S;9)3DU6Cp2)FU)bdvWn<()2-&4}uL#GGa}xNw32B z@8=ARXnsx@Wd4Y`id=2tlA=-SV9R!XCyecH#)J{sh<-xSA?u`YTooN{JREN^GMsrQ z_=z%QFVW+$<7=2e{D5tk`YLJjp1TSbRzw$Sa9+k7k!V(Y602;?vD|u^@kyaO7Hf1g z8jGE!kxbJ%-*JU?wjv`J{21Uh?xVyT&n$^1ik+jX8(}^W7;-BoJBw4S!Q7674*2|z zX2Qi|m}xr+AokElWG@{;Vkq@&Al67MwOcKL+^}i9~%qt ztaa8I4MeFoGH4lUdOpaA)62`^c)>uUQ4kf>U+E-L>2a5ouI{!!vb5KOBJ7IPVk5C- z4YwnM)Tt-QG0O0oi&v$rsTm~pT(wl{f@m-scA1M&Uu4voGraroLrhAt2LA1x638!8 z-o{Ve!%f42CwK&s+KGjFoFj2tI|(AQfk!uQP$~$R3M{m3L^fCXWdS_aU$xoDuTq{$ zY2eXjr$TGC)>nw^gFTVa(F(+gd0$~6) z%ZV0)Qn_KtY=aoP@V~`11j8o{O;-LIAtfDc;TaF&Iclp}$Le^6ss-Lr#t>L>+__Fw z6V^m*gCm5dyx|re%k$8-pmu_a1ocNoX_WR*M6U?61v1=>@TkA0ivl-q+NyM#L$e&v@R(zA+glJlI^YQ~>T;q^ zv9T8ON2?|e7m{9U-A&RD?~t^-Iz$iKiSO?H8qjD_EUpB5qwp3H-_}vWhBo-sv|kR; zI-g9ihuo!(8bD2Mo~G*HWfL{OKE~ffH)zS`)%K=$Q9oZa^Qp8+0pfD2jt(a59b*5- z6)1flfQnvsCGZZhicpVJE74+#gn)9!Ln7a8?Znf~nG@+S44BNSB-;GW-%{$| zPxVB-@%-h<l&>33oB2->0G8za-2b`0`*k3M`ssWZ$ zS_Z9eYmK{b?|@E$W;`sE`8wX6VvDwDd%7+6P4?73V!vuocKbDSUU12cx6{%!rqPD- zF*J@HcH=oO(p^KdO3PCDjQ>oj*R=#Mi0oc(DR}ftk)!}i%cV7VmNuZadB4^MzlTp( z+QG-1_AD897JMG8vZg3;4!Tk;WUav`d6SOR%?X+7>CDJjal&3aN zh6Xmn(OqUwN*4%Y(;Ww`5VaJiqYc{n38%btqzVz9F!n<)(<&a1*gT1w88+|gM0((a zX$FYw5wz>P7;8HfkIpN_3-ER}UKroKpwy@O8+`#>KV_^5yX6GP`BCu8A|Z;QYbL6A zYYU!$T?&-Mt^||!e4(qzVN$$;m1A0 zd;2@ueD=PJmdUoxAvXG5+FT8!h7In9^B#`WN%ZbiO;vp3!u%w^P2^VBH{ z^n~q@Jz+ePn$Kd|Yq*V>O~@o6hge$(8*^K*(M+{RIC%!Y0ZDpnwOyAm(}TXt?x_LU znoZQJl&INh(eh?Zm~hjeT|7jLyREAYqlTUAq#=@h$t|FSj40trfa?Ts=xHXZ{^2b6 z0uC}m6rJ!k$KtfV>6VB%!JQDXg4_Pc;w~XI_<2QeP2);3mn*9HH?O&*Zv4SRg}U`k zrOIiqY>)n|vUgjxQ=(N{A)APdwlz1NH!@-*h}aO|MUH$>dLhbdg^=TvTy0UMlj!y` zB`dgfPJCTPmOR?+0^}8ewm`xS1&`f-YP9=S{6Q7@(|go2T?kn5F-R+7{gSyJ&XAYKES;?Y@LLzfX2IseaD+p|^iUwm_0?}1`8`^EC6ep_^c z@_NNsR@Gb4-BGD=aG9UPW=4;=|Ejrf($s!nS~pp#v`GO5mcw5)5e;ZKZ4qvdgVOV% z@ZGp6C*AT^`DjPXjJY~oQ;D!2kkXMY>udwJ?s#lT(W0UplGk_EB9qo;gViKqoaSaN zW#W|DGOd2E?Oy|#S=AvMW9)_IMX`J)tlZ^ogc@OWwJ@7;o17&I(~2X^3Yx0^sP9<) z4Yhy62eJ0gRZTryF?!catGP%vfM2G}oJsY(QDauiw3z`*4IYh)Lvb_a6fh$+Bww6R zAHGsdKiSCaV@Uz~gU11RIGaHjhNZonP<-&^J1 z)~MZX<8CV-61J0OU`=SwD-|IbB$onPRf{azrAC+xgBHVfsv}3bw%`f8c(2p8$ElSJ zMF}#H`?sgTs4eunZ9?ozG6Fpt$98hvS^+gRTvH+`%(EnqUBe$W`Ox<^IC^%uhVB~T zuxypX$J8iN{2=)Bidya3kC|wCXhgrCp+0-x1w%f&*dX+3rSt8ip=m_Ew>b_A%mTvGQTB zH7)LXS-x1AP1^qT-fZ~s0>fdkY&^28LWsw*^>yV-a-|H+!$$$ z#K2a+0((J>Oc9fAOm<9e&#haazeYQLX8v!db2ZnLvL7-n%YLcp(xH^*}5b58Zv5qg{ zZHRL9#B^TSj1YVCgSf!NPcr@PYxp%pG&Fv+X8+WfFw+kH5`9Gp&raDZC5EVGWXx-B zyb#HVkxZs_Sc^J^Ml;@ppc$`Rr{N}Np%imTnILq-ge!qC1L}9XOR-lrPnU5dN$?jR zf`FkV)NBg+%2vSVl?TRnw{@asZ~qQoDH1&GM+vv=y#TDOsK$vDX0<|IFGM-#t!j)EISR9-<+r>zipN5P(_1r>E^cA3x~=JEq0YHmnMJ-gb*)#D zEBF8g{Xq#%R&H%GT_NN3Bdfh3p_`e3(&<9L(W;lf)(coxEn@BV&9JbA(iqW4uy&FmsaZNB_EqX?t}_f*kor+!U!_o2&~2x>I@R=rE9dN!dfD2NM4BXGd4Rc< z($O7&Ra?3~EJ=saHY;l)X)C$BRTjL6KE|?jUw+;=L2CbW3Sd_ReIP>tOUW7%{8UaU zYM`b@H3mEjwScpZ!LN*hP>)k95fUf8h))vAZdqT{?xj&!l@6afECHJI;VCgssV=@(Dncxd`v`S@EUE{ex~<%!wl4eBx(3qvl7@63bWY1Vz4=Eud3c02 z+W)5FzS%Dp>h$!NJ<+-u_JtEpwuc^hjP{EMLwcPCXH9yHPavu}onWj+`iNfxh6=;c z?+s@vZb>|`@p4C%F@9C9?RKv4Qo3(N3S5Pd(hr|J>?c1KKi997DbXpM38tBpMlENz z?IE2ihDiZB4SQ32tHP;ZI<*?i?85ex4Fl9Y1U|KAACckOaGR05nt^FRItt&A-pR@8 zY^Pa-WF@a%8wWG^dpmyT(L>B>jZ_w8_opmt#|4sOaonCUV?nGI;lZTX=CYL!3Gb_S z_(M#`{H-H1RA!H4=Mem~!6rpQ04GJwMAhS+1z+IS7Q8*RIPEvgG~HSyGGinZF6Fkv ziKY>T+%a}33dt=(Y!Tcbauy8nk+MC4%ja&{8ayPdBXyP&o{OxmN*BCl!VA$!VtYfO z*DA;dK7=T*6>82mQRKO`HA8NM3}q|0?T_qc#G4b@8w{E`7ci2-Jj=Gr1O>tbIHH+w z(1YLFQN@)9wsE(0jK7hCBQ2FYhylYd6(Ly^*y`7E-LW6B5lMDY2J&ubM{)|gWMnOq zKdLS$g(j|28L^eI4#?(`N!9|-+n1?Odnw8)!5^`vi*LI45aNS6 zBQr{KNIN6z(%S7}_VTKlRmU(dXLN+#u#Zk~o);tV$RS!;qeI+K;;QWEVxXsEsx5Zb z7cdFWuWoPzXOhmuWy0JPlL@~IOVq&aUjX3$*tx29ckn#vuT4&=Z?KbfLTz0!r-bGN zoztOoeJ4Zcz8YwTX{uL8%dW#kZ~&>5sGOw0Iy4Nm0)ZyCUAB(M2uqPBz_W#XP?C^i zsP{8FQ}z7Urbhl3=#0MuP5V-q@~m|SPvsP80z6xlhH&qNSP5dgL*Ep_;v)!}DxQxZ z-u~)0R8CT09U7WifuOf*m0t^Wkx@56TyrWVMAh|#w6jnPLXHOP`ai*Y2)kcX%KRK+ z9K9;m=?(C;6{ZuUyCX|z-4zc|1EXuW&~N_5O||`&8{=K|PuUxWB0ZF$yLOhZ0q+#l zzN5Unjib?!72V8N)VmYZddjwE4-orh2`-mMi<4QLk=Os0iP0y!(Wv z70=M!%uqFO)b(nYtzVrQ_4*yPJ9{V``ip`s*;^Kh9jRbt(18hA;n_XT;0X%^nq223 zd=JP_OrAX7FXH(AXrnT35P@_gw+8fomns#v#{yZI~ zs57#ZQm>r7)+g;}PgaiAwgzQYaIgJqBdrfV1@T+Xjds7ZqkDmO1t+=&X07P#5p#m? zMsS25>7TrB1#&mrtO2vuVBf<5;`7y?fip@Odwg!1e_^Nf3$%FWaoKjROu;8%A3Vv0 zTq8Cf;a4TSs$xqoJTGVg{A_hP=rg-=ePt81hv$7Yo{!)O*SB=3uExubEZaQdJO&za zS+_*^>s8bJ;o_e|wj; zfg@wI!i^Uq8ByXa=E`D-WLO~04Fvp7m@>=+@rE2t9IYiO<<@%?!0&UK#)FX2E&C?U zMKCiI%j>_^7Ua#c*^4ap!&f2n`b*Vl^6sg5$0xpTsxN*|=~L*ytM#DHh=|e*($HO0 zWi27YU7F@gYYd^FGmPY5B4*}Wc|8C8BDy| z`#wpxQXV&b0LinnSyVboz1aZztlM`}DKx8ftQ|d9>hOw^D;TI_$$p%AHE#@bB{C~% zC6&4-r-hm+XR`%kkkl+?1yA9xTfbVVce>!m$mEep!BQ2L(r?Lm&Nc*zZv@AkC@tkS z7p$W$Ar$;uhvt}emHHKRxXrt*`VIJt2?LQt?a&P?!4Q?IT4F%wEYLf-2G>wT3!Xre z>zqW+=?P0xU>zEUT7f{5>)aY-qTAG@Hz_~r=mCftF zgk(_0vej0g?Kfv{j`5BSsCN6m|6>fBci*qx`HA9``XX-exyTlfuHZHPa7mg%TWOs> z1Yfs3209+MPb$r8^x0IjU)B7FAA>IL)!SvOd8!%$X?v3t^2xM~CgPx(=}1cl?+92M za+_+i+=H~Mf%&d#o$5|_5qM%Mz_Rw7-EhB@rFp3TBIjt%k-5ZMkYy1$cRn`YcKl1r2I}FSo{+9XvW~LTl|NULDVD7) zf^p1s_fnp1cbK7@;N-dk=d*YRojuq)xkldL7Ya1F&Pn8)p0Fsb<~IC$7(y@g@+0aJ z!a05#zq$y98BlE@qikotgo}r`+sfN_qN(ka3^PGxYA|;xiP0QZv;ujy%B&I!yb#HV zQeS7#)be~Z(I#sn>sX1$P!^%*V^NJ$d4co`2%h zr@}YAHMKkI%zoOR(moP3>yE5XA;E8*zbDXxiI2za{t)r+{}|@qxA1E(1JWRo4H!?i zsXHUe?${Gt1Nkyv0x8W6+6NL5z8J-_ZlNdmjyoG^xrXA@JQx|gjTtA2i?gH#s7p;G z4|;KuxOhcr(rP-ev}ZUOjon{MNyAHoq?&cIOgCAsN9D$t#OWkrGXU9)*|yaQ{(89< z(`FxGKH08%6T$FgGfvu`y~Z&cke*IQmi9V7GvOzhh9z8+>^B&kT&Kpq8c#bu$%MJe z(1|yAfhO0vHOzEFD2b7QP!rI!#RgiUY;ynC zm3j)FWD1oGm@7wCXifEIWXyBbBiwr-k`bj5)=Qo#Rv1X*X958`yWnS?>~z;Hm6Al& zz&aw=)C>fk+kOajqoiRvv;~!*B$2XB{^6LPWV#$GX*%x=o`NW8NIN1kRDaOg)U-suQje$kM8ZuSV!^8RF!t>H2arQ>S&ES}q~W!86h9cTtjRTt-1l z3&$KOF+yALqNRypGGrpXy-7UvIv(w@J2DfogTF)4#o9|h#mYImL3JbzUQSXsK{|O2 zY0S^I4oAYUPo?km$q+7|T;9lqw2Dqc93p3?=xE0rHRod0eXBRo%n`VqWYlj)i4gpt zy%H`B{^gQR13OyP9=p?;>mfQqOHSSEd9>PQ02|{1ANwA-S)*Tb4`R;goE_sPu(KrN zLei!lyt^aBWK~b951=hs91kwPhiPTmWot4rr9CH$%s!GFdYsA(ezuS|n23?lDtsyw z3WiDyhC@j3^VdywzGT~JiUPM}M#;ctU>zEUT7f{58#oCgqhg%)rWXx-G-&9pK+F9^=iC2?}>ZgGS z=}x!1)bNV7OdGT4De{V-D|Fx_R<@65ZGP@sI!1%F)){=(X+uY3QpMNaUtD^vRmZu&Ab&a_c9@+KIqO9ff@LlGO}S?a`Jf~LM-26B z$p4`jExT;B4rw4pn!Jsde@b>RV` zdRp)$VZEH8jK=ADln`FJs`7w6;OI%~w9gDk+K7+%Z~g41a<`?L(efQgKGZ|@H6Q1* zTw-rG%P8a;?!?)uab5A~+;+y&&Fp>#Z4WhcwOgT=EBE(`vILqawhRZo!7tgb4lAHV z*0Vd9uu#5UPZPC(?V$04lLIA8RGhKrHrYA4+`@^j14leMa69sx_#rcnB%Ol&MiJ^G zmrxUr{&ttmuTp&Qkbx?oL4h?xZoAId9C12@!Unb~m4I*Jwjezynl)KAAe9RIT;B+y zEKA?j)(s_E>7_N?_D6QL*PRo*TnUq2Js}^KB;pj#H{^M0RVqbxy*_BK}IzZ9I$X6@j)u zVM#)cb8DzDQulW#Imm#K(b+2Wz$!}X>l}~UeRkdxNkGro63>;U?#J>eI)ORGW=PaHso8}Inw9gu8YG&tV54pkGh z2YFx}JVU)oPzW;(CyfJlOV=n}s!=0CTek>oojD*MI0-q1dUUIm@J|+xBakUP0MxL{ zOq6hJ&;%6t)h$@%$$#-u&ah*xtw%gV2U$aW$yN#pQym&~CsHl7TiE=0&k-xx= z4mfuiYuA9jf2Q{Mt*s-Y@CcFPx}M41@rp{`6z>E=N$rGFfzNSDge7TJx6X;72QRXu zO8{mB)kUx~>5|LV{jH+-r5${v6ow?Q7L>|D2usjN2xv!UmApa%k#n$VnW|JmA3?l} z1AE)8+P2XoHeLzx-8Lhe`ModUkm5^*TQ6YbgR>FTPOWwRNFqd zLQC)>+#N?*hX)MFNWtd#YD7eQ1R}FZAN`|TZ_@1=w#6R0l%!4cmrbeiiwxDtK(8qt zhVx16Nx}lJ>txrUOZl#qk3JErxYeSO47EWjWO8-*;Jaf-xE-!cH`wQDKYQoqmKz@{ zm-7o-gY=Co|7DT5)x@)j;XKPfJ}{(|!LL5KX1cQA3xpEqME9@T2usj@xTv5;+HF6C zx=9iuToJ4-PaabcI<#7)U%O2i-%?Z;9qo9$O1yF*Fnih zks+WJSxV=wJW>N}t$ez=gcnDb9rhw3k3LQ-OdR^QX&nNc#h>zQwo9Y^kwslnh=-2^I|e+~Owbl6C<)6p5sHGcnOisse*eo&sI*>W9aTyHq@W&3 zc4W(n3L*zjs1pbbxz0)0EqGOT$C@^lhNZdLnhQWA|k&z-Hz^fYRr5+GPtr9-CF*&=zcm9XsF%V7Aivkgz;_ zZ|n87NH?Hjn&hG($SWlotI?~KUQKa5tykyIC4G*eQiZ)za2 za$x2JkbxQ0Scbm}vb@`{6+>?+j1E;+;D{J>kL61cb2Ut4F%KtHmOfM1U4I71D!Yu; z9)KtMPWD)`iqZn5>$s)R&gzVXrg%zw_Q2+`kf~?Y9g`ZWR#n#hu5CMjq4JY!Ls_zR zjK~W1c!IDNWldpqo0YCU*)j1gAja$`Bbu2G6G^S30ZbM}X*!gwnrdn=t=o*F$*BtukACTOi`LAk}SCRc8?JYajd+T-e4Tr&gkJlr*GX3F!7rqi7eY-sx5- z33Q+P@>Y>PY@&J%)mhE1%9#)PZ^#ki0=I@-&sq~Am0gX$x1FHA$P#LO;$!(UkXZNi z#j`U1s~MW6P?7-~4C0)*eZOHuc9%M9VD!#Tw#OWe_PqR(8IHu&h*QIzPsh>sI29&H zGB67hK~u;=wrpxC$IBY;z?c5CC-HNhXs8Un?G==?=~glwHTzFfzgs`3Z0Bp=l%JBq@5EFkg}7QBMx-A*)TIWlqEsCGR%+& zrsvRX2#LnF817KX8zMO^x^SEYPaps+PVx!$IZlbNC{0b*q5>+; z0_2N$1nF5&HUn-QvM`e*SieD#XAAkTBmu|19_Q9UBUe*WS<{*cn6K|u`VdN^R7|Ba zGG(CF_7=5dg%fqrFC-!tNcal`)<^Bce@Yfl&MuUw9Hm>Z;?-@{2ZdUJz>xd)S00>k zm3?j-3{5U-()Qo^Z{$4RIT>9w)bi@7vfv5)vN^Xsk$Kj-gQwFN$ziZFt=(u=IsqyqVjVvft&05)8eG7%o6lVfU zb;2=%eSiH1&}@d*+0YQ!(T0b6+NxDrVk|sRBa(`ppQ(Yr7Np;`A z_Fj3+t@)--Rf*~KHs_AY`=k4g3Vpp`Kjx{6m*YWm6Z1~X$qC6meN1trYq)2sjl?^N z8Qq(oGv#}Y5epE-Y{WI!ks6cKmL7z`C~luzU+M!dY?x)&j|(TPBI}^-R;6!{L6r?_ z@KcB^VpIcNOXKCq1alZLW(@MRYwpid4jr{KJmyw zSpC{X#%1f|O3EeVh8QnksoX_Q_Jn@x)uT zkRHyvE)@PKLY#D5yg_NI9dzAgbApf@&;~F|1)1kx-k?lBa=gD|?AdES4jpnRctTPn1bDWbMo|4TCyDJnQ`K=&s1OJY zmQIaU|mC zOoX1a1OEcoXGiS)jTLL}y>`Sm;v3&QCl}}Y&DiVL=d)Jq*s&u|<~IpJ%HW}mu!Mnj z`QLxfH+>JpBV6R-u{sx0gP(mReq~^x71=a1xU`6elgI^y!`m|x^q?$t>m=?!{^UFU z^Ni;zZW%G^7m{Vc>qiZj{Q8M&8Sk$f=D}Ue(BK&PGdMf|=oPQ0@Y(y?89zL-&9M-_ye4+&O-YTYTUF zLs@=%a3pvk7mLT6s6=b(u!IbC7kHGVz$^rO%kP9=eE$`{-tfzo-(B>M_%`~N{CdJ^ zWJwu>0l#UOK!U5#q@a+Z_BJ0j%pFmiV1|=o3h^H~QG_{4SE4nkHUX=$y#Wd30%cX` zmw9H@po;M~72AfUePBI)3iT}IB+Qzo{*mn&*1vHU3l#sZNJkrJNz^TDL zcCO}Uq5?4c{sni{hX%*s7OgAFM$YbeUdd{VQIXx{w(eDHkY&Y`hEaEq1l zS$E;2CO^U!k{T}SeDqlR{&-*2_10R~0N@*Zq{~O)cVMJ$H2U~+ zp776D8m{PAU7Fre@oc|db?(<;u`X3`RsS#cAuRmCh*FBp^jOf3km>!vHRTFk;>N*Y z>HaAU@h13)#2#-^Ll~qW3@mYQ5ZQU0GO&ThxBTnZ|LF2NLde+Vuq*g){+9ib8;F*_ z#fDrg9&e%&t;xfRprQ+^v_<8LA>%$^7tnTHPbWjjrZ61T;w)%Q3~HF2kC|NxpUIek z80<#0=`a1sb>~?t+aFy%_?!O@Yz-nx033_Mn=mL%_#Fh@DG@X#FJ_-dep6JgV#H2& zo1t4pTG2r9Ap2Ehaosb8YCQ+9bJFuxC-$=0jH1&RfBB;-Z|eMl2`?E#I?tEbv>ZBx&49g9xR zAz5yt-{2DG>-e>1Hv;n7YH7+LbDl{p6t+9t#}{0dUUlWOQvljWt!AI77q`qi<)WC9 z)YO)I>3QU6>BaB*egBc@XC3~3x#};({_^f*C+T!;>Px~q0TfrD!$FHUIAJxz{P+BV zqzuA<>mh=Whu>Ip2!h2D2M3Xz$7R^N|F4_W#<3oEanG;rPycerg~OWk|5+bP2ypD~ z5i+jiNogv~L^g+1qAKKVkX!so?$q4KECiso*gZYj-hBKQ+JMDp@B}zcw*H73buR zNjzeg6~)Da;#c+%DTW@g9C-%^?Vus$6sz4h|wak8Rk3%fIqhJo42A z)D>aYd4vgr)+}a*yr$f6TLl5YMM>u+dPGC<>mys@c{((Vl`#YH@xdU@f`dtDVh{{f zd1UKM*w6lbAAV@?hX_JI;Oakh{PpI4``Ne=Fl7Y4Pp1g8&cSzVa7xqAkgF-PLtf;s zN{LxD=q)gM|8MqHp!-Vb@{iR5t(;yIEgh)b3|hix`;f==U6lXiYDGdTrYaKN(s0w! zmg!5mt0$-sbknbCW9v8LLBnzON^gkU-enduYT$z#!rJ0n6Sjjtb)z2{R81h>3^Yv@ z;lxoVl7{>K3?^jyUWM(D>9)4Rk}|`Nq$i?h!$r$SEc%XGehPc-VjJ@LqR)~}ZM6d5 z7g_cOGVD3C>$<;@)Au_6pYe}QsEc^wf4+Cj0yAD)cm`vIA(Vns>~PQ`4o>I@a(jM3 zQU+nbRgyqF{DdY2!D5MngUHU~ltCB(^7WZM~ax0j@rAbt-_~XFoyhM+tbm=@rT8N4n2!mu8^Yspv45 zcBC^@%OL-+y0Sy#W9v_Iu$su~noO}14E&PwR50BOQtm__P*~Fo*;Z4}hjra!2Hiy+ z@BHkX)cH!QVyZp9Qb(y28~?+wF4Xromex&Opc7#ER~<- zU2-PeTUv#ztF>!+E@(v?{K)nXKY=uL8=a%6X{B-7uhFgqHY?4=L(|8BI!_~o#r1M^l9%bQvt*Z0{8*1r3$Uus*%Dl?qK&@n7$j$fucv4?{fE?VUF{DPzm z!XTb4Jp61l+9aNc7omM6Pdi-#+{hi#O8X~*Vf#Oou3_XssLvKk>RmH zy9~rdX2PSn*erojQRJ+3N4Giz`8bByByR{$8A-&gX!cy&V$f(`qXV zc1|yH=s?>~6de3lI$Cr-sSMth?=kFc%UL$FnDkt~?amXxvjxEvxBa&m)WoyV!C~0T zUwI5zVuonwJhY&fK(rxrJJTa|DC?KN9EZ+wQzg+vFuZ!dKW7zaPdK#a_J?3$gCPvF@Du~)N^X_`@p(z@=jgs z>G7^Nsnc17Tzku7WOt+E!eN68M{e;|6IP}uf=4wE4ccJNEt`M3eL?1F?<@y=x*4n3k;YZH`3 zP?;G4z!H@!2BZc>kI<%QEqzVrDbl)Q&zOM_6%Fz%IGD0UhBF0gMZ&Ms5FBFmM%Xg5 zhDqE%R*60_0|y$S60Ir2ifpQxAuj>I56(aqoW6|qoZ@^1V`s|I;XAi*t=B4RjnHu+b)z139OO& z#@Sg`UX}u&UUzIBC-FG$tm3{);E6PjpD?e@pPTI+C%gMYhKT`vOCX*H`R5>KpED1B zlk7Ymh))axw&-GiLl}rE7wfz-XiX9J(2%mr$96+L%`yYA!*hM){zFh3Z|OWGS{x8F z5Q38d?9x-3gGp#o5DqL^3Y$OxAeq_C%Mj))w@4)_aY|ExWnT~f=n}dvm^qj&5d@H{ zEDeQzR*rtLtkeOV<2A)Tt+;5`7Fs)DTfViM*M{2Q_0Zrm$ED$%ZPAMc*f~|S`r322 z<(rzVXmk8pwm6l1adjv7;Dni#VxIv=hg$=@`PPXq#IIsx+N(}n-${oe6c9Px%<-SD{-DT6S4$qor5 zxC%`QLZYg?xm*mJKoqkoVle)G*D1mxLKkKr`I{OpfnecMi7(WJO;+ zzaS}tFo;`-hu@%?4ch@W-F^Cv43ACNn~Dn(R^^To;f@b`IR4^sT&(Tj>fl#y$cX&S zp_$lEnsUb;f&g-r1dcEA)^*_Jw4TK&#ih8SyfweJUh2v7n6^jm89b^N3v{U+V?ALo zx+?@5-=wWOO649CQW$Rp`vO?O>=ly4dmWBVN!y3mCq%>y9)mDdlt#ch__ezPcZ5_W z%M1|?ZzD91V^cglVv&Rai^3pi#aYmr6a-&OJRBClCJ+$|W)0GR9=3yL>=Pdn#;vs} zScmAC&10Zkpfp7gK$S1@(t&ro1C3Yp^Ka5;3rkpecejwm%rI*6-=Vgq@AMArvB+;F z*h3Vg0roEyLXS{a2+hs9Vyaf?hMu*idguJkw|D-XI~VVI!QqT!LShXeel-cfOKh%r zoH7W5c(%}gnmq*}qai;bIEd^#P8ozjz!qIzA)zTM7b4WtNu@TMnVY&C!3^vi-o_L? z6m_A_!(loZRP_We!B=ZykcY1&9uCd0pE$UWYm>2H)*!dC1Q2E+yfxOQVI88U%r5XL z`2-8+&3?)`Y(fNqfthefgQ~IjqU5~Z0WPR_TyTz(-~)qR zvuR=S9Hnw06~y69P=ul;#Am~^1HK-<6~M;|gIFo~YE24)Z%ra>w*WSQh*)&eyk*|9 zQ?BI%uEL--Wmt!3(e;7ZHz^A|s#0QB4SF%YbfC2ZuGR5$ez;^-5w}W~{gU|3?*YCI zsF@Y(?0L%hG8^}6waaFl)H;4R+izKtMSX4iMxDT(p(fkFx*8;GyUaGm#dfPMD>JkD zUnf(rUU`eHsIXlw*A2a zURC+N>-^;c*fO&Ye^1d8MHm6960Ir2dSnn?7gaIfD|i^^=^CCQuD(04 zTXcdC;VU0~y>h;_tMg6#oP8hcH{&rI#e?G4KkXWF+G&dUcQV{A!kxLMFT%(Ktq%L% zx9?q;E3C^fIgQG?c*@MUQyv`AvGj}uMOFAGdk7A|bvPKNe99mUSQb6J01{kSP`U{r5`n(#Vim&uCDL(;k+cYV644y zaUS+q_6$u^4iGWu(k$dYB>L{^PjIt^Q!4S z-9T(jQhVjPcDo>7^T)>B|6;wrNd~2qdWd>Z*S&VIP=ZCy+ipN__Arped%zmw#wIbA@)RS z1v~gPP`n5?i$ll?M`ZsXlV1U5oWhLf<#JuPNwzh?|MN-i=QRxf<yAo59S3`=ma zSQWv`La1hyfoH7VQ9z?qQyIlS-H;}j}Di?bayoEt) z6thPaq+C*#3vjKAzZL|LYdE2qxRydQVaKXY@L4KOa(r{uve}d|Z}F&~6>ArWiFZ=@ zs~!Jk=WS|Pp$;;yE}eV`|f|Et*-^U-(g}YS!@I233y*#<0n?Kh~8I_p}_< zWDn-!Z9fGKv}O6%2sYq491JtQ{0QdZ6_!u9Vlnmn60p4n+Ok}{{+mgU);ZKW0?F4F5H5)Q z`9@3#O4+y^)(t&V=B>13{EFNzI%l<5>76(@c zzmvjxAm*9DQWg4%Iv2rzZf%4p7385^nHf@c{KU|G$*(6g`e*zyg?`yPe#@SO@6QjP zn2|CF!yDv_Ai-5=QV`s#LciAvi{`ySt_Yhz1TzO0Q+}7r#Zf5w4Wc86*5qMDP{jqg ztMa=GNg(8^MpTV{-EV(Xu|~$7V1F~Ba9)R}VCJ?j8OzC3+*C1)altl-vwiy8_{0y( z=J^UPI}dtdb%&xVAGwa;Anp`A#`c5wB@JTR(q-?dAqat0nFD)W+%5U>ts?Y*gyTR#7?Al3BR5!c5naV|B1*3 z5hb`GKux4dZ30$j6Xavw240yeo1)sBK;&p~O&M)MO7s{4m-K6RJL^R}p( zuB=Up{kpKvlVGo#ygKh?*gHO2+>NJV<6ajnTN>KVej9_QHn)k_eiz7u$bQ3DepPIj z_IkCnj%wDC&5e%tJ)|7`{M$_~%N9DnZqlpnmcCdw{jm6ITSUA!&CSih&d6@ z!M9)5)#kd1IxcCkQRlHoq%t^YBZz#GiraodP=mPet40f8J(5ReKVX0-x`+QKb$AASHZgCI|;YWqsSmQB>u!I4E91dcT#~|+liy@ylIEY+B1mUYd8w|BCU&vI$ zS#byv7>aM90t7Y4Yn`2=93o4wBx{QkDe$=aXI~-I7BP|B;6JA}0jslR$v6FmfX-!C zQ})0#cqW3D73k6zZQo;hCY8R>96IoH_tJ`YwgZ2J3;sC?4L3z_#wy(`VwOKNhn<%r zU1Wdk;k-yLVdF+Y)n0Vc^H+!K^sBR=Rqcohq*BCU^>VVupU`7vmgIK z!>1bYr?xqcmV|kXDdG5)4Em!vJj{T_4<-=5bdZNuGlSL?ZmNS*Y_7*(5W-hMaWIs> zeAq2`ejJ`M@)dxXDfkh0Q2}zXIJ^nN_kU~htrG3Ru{U@Bowt8eJjn{PXMMD9u`(HcX;P>KUb$~E0v*NParOKO| zKVKSJiKB{*FXv)y)v%Hg*Y&D$Yh_!Pe~(5MD3+?=gd0XlC>S1k$CA@Q|M2SWFen^h znHvP(0X&)5U?XNHV>KVhX6ZSpU2Q<7@LqrW^9xs-A}M(F>n3HcA8c{;R1kf|c7(}l zae$@9)9P#@blH8=*`L~W)mg-Bs6`&$iYoFlBeR(sJDZI?LJ3&<%fHTklDwPdrKut} z{><4c1g(9~%n@0nqe2vZaX7QNE_h2d7AEwBpdO};$FVXvXtADz*#K9^ucYx9v@Ubk zfB1J7!6pzv+5Nr7U;A_57)uDbc&sYXn&4V*NX3OQEFlLE$&8>noEL`?JeowL8qSJC zq%w$3R?hnRu#S*Zwf`PkP`>-8zrG4{6N;L|5)R)>VQ+Q1w8kN6y&+{6#F`SB9jY`# ziVi>RT+z0n0lyl&s?h(;>4qs;O#E%pvbSMnW;ElXUa@qB4T7sr2rh?Zg8i2jz0*db zp`i0+)<9mlOwWT;9?c5p?W?sp+4FaNaC_8%`^XM*@o25nJCFk8P2-Q(wbFB~j%V%n zO&h!2KAW_GUSYmHHiT-Io!0byU`f}18jZO&m6@SaxK-h+=a;!HgirYEiI^t zGXv4Xd2tv86%J}rnhXv>qIy+QrN|m$uw8fW>+EcCu-0MoF8BXS!{1~jPM)0%b7~Wp zjm|bAfB5ls^KXJt_n2qKjF(8`w`OLh_Ll$pu>S*NTAx05Z_(P#&dl6y;O7kNnH(;< z^Az=beiY5SqoSWz@OS5YTCp^rP-q)0+<$69ov)b}+RU65t%bP7u=dsCI_%MkiO$4u z>i(Tc*;5+rr*#^69L8!huFBDrBo-tXmj0oqq(~2mj04y<Vkg8IBMs zDArO18;B}etaGp`gDC0!a*+tG$`P;*iL=bAh%h>i6D7DI1a!pDbXRI?e{4NWg8`=e z#jz>F%s2nE*Ch1~lFb%3J7OAu$@e0M4&=Yl?+y;)2-C18)O9U?H@%i3W4rMg=gMcn z{;d_0(K3fUt{itwf~*_~)2Ro(^QVS1@SI`KnJ;$w+}|Z!bNtuV&AV84s=`@oKds%C zkk8yDTxWkOc@r)&snri`((`i9YTU2H{+sf?2d(F6f^8LM3qd^)@Hkcm2WXgLaaMhntMw`Jq3m16YK{ z`|l6!U(V*g#~XLy1ngnPdmJl+gEoQ$SE2DRBj`WEL0vx8**U-?s193kX}O^|AjA?v zE*_(3$FF428V~bgW&pIr!C}e_I>MWvcnggsA{CgkIJ_x?c(SS#xg~6w87P|~N^pa_ zI$f38#+Qx!CQitf?U~664q0a5Ds2jXd!2@r<_rtOTv#A3t)3#-doeuOU?14`&-S!_ zx3KeteNREX5Trc}E0G}^e4k`awKUvp43;aI8<@FD&m3rsR(CSz?`sb5h!VFK9-Ft2 zhmH^j1c!qWY&eFlD9d3h%%}(#4Il8qh_H)yE{^O!iP*vOqRZDtnNE$e31(j0Dt|=U zs(c^`^tpxzg;C8Ej1L$31i~;rN%E8@5KKXWZ_-t^`&Lzqpv60Ir2riPSVY-aE0nVEqFho2Yp8>{z$y~pG|OIe#4R*|#-hCx-{yY3tuL@pku4AG!99&z)S^}j6T`Y?o7q{Iw_Uc~AE&dc)=cUDw! z8J(xldD40otnQx$%jZK<-yvGKtM5A?DBfc{2KhURzO}_5#F(-aHiCE{2xcZEI}n^i zpLnb*(V8->$fl|afB?0G;2?6v2vH<^G<@*MG}W*zB%~A=im(1IMhZf(DJnZh5Tca9 zLp!ala~*#&ifA_6>O!|34k8zi=hWtqK){M@dGd&{#KS@4DnVrj5S(lR;BDy}RcyOcG@v zS~yg8B)4HJE=XVr!6B9qF9ltR*6hP9lp`K`z`fyaGbs|yW+A+Z>h)PrniSM@T2*#N zOc@*xDko6Idib}9hm+_Nk5wgFLx{`6ifmbz2LxN<;UIEZ5vQ|LfNDCerz7=(v`Pms zW6x9kdD3E5Gno0Eu9}w3Thr}f^^@@WY-e0)D{p-|^6D*mmI0<0 zeGnZHdqn849keq%*)HpP6quDR;Rf*MHh+sHo$dNsWZY;#VGh3W84Jf%@od$*dsfB_ zaFDz12ogRhkzkIR6a-~eJX;sSCJ^KpGYezr%ECkmRzaA@>LK+`X^OCuY^tgN2+*pO zn1vwm&;#zK+_Hx#60}$dZ_41IjUf8G5X@V{CJ+ZSG5esX30-!tJRD*PgaL~>7g|H` zt2d>|Y8vv;J(WKJ=`O zcTwap(+Dxj;GvBmH|o3)%m``{m7Svqk;>qqjj)7)a`_`@Tg1aj4)~i2Tbpcy7 z*?wIC@&#G=rh}DWGrKEgrbQ>Hn63n~jy?-(Uu(yLFKST_Iy@j8%b*lrV0Ia{17dUC zMC6Wf#=H9u@Vo}Wo^foL^=KC|)ZV7B$W91ofGxY!5QB|>t%h(Q`ov=tP5e3-l;)xg zE3%Dy=28~qu1bMfe-3fZQ!1dD;@CscVjb~I8$7fTq;l5bRu#wAg|LLgD%N+MgP|@= z-NPf6Kp3!$&qZjU))4%1uw7UtMb{mCc^c4=*LC;M)Z~&bJnU0uwU~-+o2^R)us1O* zTC}QAe?lrbJ+8PNgiOr6n3=?8JFI?M`-`s5gX-ov)_#3#jKIZQ7gskw7c1>T)NXGx ztyxz-*4DcCx_PE`z?;R`iQRQ3u_b9&h}nkMij#PS8edWz+W|T@!LoP? zJI!UWM?~GuiKRKt@GakLy_u>U%b{xjZKk{VD!04mFs#bJ^8Av!?gWBMoM29x7zAZi z5UR2xB4+RyV5KgDXcxp(<-&bKa1exftU*|Fqg@qc;ZlCG4Q`B6mW!JQf_2I)^k4O% zoM*6HbMHi|VcHPv%HW}mAfM%nZ2EmEgsSYUBYtUvhc?0z1}fG=YYAOD@8KkJ@mN(- zs4WjGvgKU>S`)f{on>~>xmwt{**vZfLkL&y+TzzvILYTGO-CpBi; znnEENW`9-IhV8+ux#GeaUnRDUk*u`Na+qtkS}`-3$O8qSJtbE5IbHUuCOP9OAz9lo~&&*R2{xZ4gWjajyWiGKR!J53JgOkHE z8WnF_p0DER=DPnYj~07eHy%#d$HWtYH9;AOl?M>GMh!V0gbhjaRj9I$Gk6RlAHrZ- zo1`3EUcs*_H;HiP;D{<&#+CF2t%0keOo+Cbxt5>i)VaV9MDl$AbWId3b|wTUgNHVP zREi9d@U6&&$UgjN86hAV?&fup`V(D&gvsMAmOvP=#MAW<4M`(iBeOJrJ)&a}VUTzE zHB5$h*hQ{BTP7^HX7n4YFvU(T6tBILBcC2{SY?X9RJ0sp@W%u%j-`f3Tn(p}8xs~6 z`MHaqO>%ZXwguK4=Ada*u9^q%mmCDWmgdk<` z&_-CoAi7+Sn(c!NtTpj4##}!Ro;VlxV?(7j2fq>QAsfLGnMoG_SmNOjnGpsM7v7)E zn?wDp3WbYq)s(9x8((`fl2X5rs0jQG@Y9QLnVjp>+T`zatU~jLg>%^F(LHVvJIFq# z)gM(UmcKI<0pHH3*iyx>8;_zOB4Il*< z^US>B& z7Pig|oXYas3}N>6h!B-%O&ykyq3m)Gb5#n=Ld>`PPW=0H9X@U24Zm#p-9_*CQ+EB5 zUr)GFSW*UI_!(OgNN^RJ6ckd_-hMam6WQBf6Nrcf4^!y(x=s=1C|!xxlwngt$}Tpu zU*?&afdz-(6!aUb_ks00ee=t1=1G`gJ=#`oa_P5+9hM>i?!@Ta2KOMOR(F%-6W>#? zZ}squw-+2wwkN#*$OdIqT48fH?g_JzOBE8vkDMYLVz`pY#wS`au!q5RWin(3&D_X3sHYhV-@w0)UG$-THhJ4b`vH$q<~!7&rNn92`{zE!Rvy zFkug~Bnv{wBAA7=)|<-30S-aJpf%%$NZ4uDJ3_iKGY^F+f&k!(QZ*K%riOL0OtZd> zUpf#vfD7P7`h~#i16d9wd-=!Ix4OFPr`4B;F3^{2Taaw^5W;e8i0sv=c8Xs9iJ4Vk zKQk)rIFKNJBPaqy2Bj3gZ@W;w(6& zi9s-!qF@mbGY|%mkAy9|AjT9?0&=l9ya|KWlwnoZ3F!oRC=7Wm2@K4PpgCrmGF2xeNNW zimaAs6*hY(!7N=O_J5Zw$$CW0(n2zjXIEQ>V@-vXa0giv)(!j{a;|vdS8m!oX5L2M zV(DstwTaF5XgIO+lRnFcE3xG|SqbJ=ZV?k}hWbTWPZ3mRwy0Bd3eFm1BUo!C+iwGD zO}GLxUVbn<3RcgG$7#&HEM|_+z2m{z95YL1B14)4-{F4B7@?TMGYk)1g zq};UwQ37(Yc)SUN)|6pWL&`45Z^^YF&@<~Bna)e}uu=uPrp4-*f#8zo>tM>Bbr=kx z@F5QbuaupS8(_;WNM?#6%n=Sz!k{%}Sk-kxx>ObNHi(x50JmJeorLZpCD)ruuxVJh9Qi0c$amcz!`r24Mib1mfW*G${y{nmn=5 z!9ir_ampYJc@X6Sx2oXRYE&-Pc|-|=)*QtQ`KwZ3=0Z?9FOgz36^z8Mbell) zC^Xx_qu4kv;9P-?k8cXwD_OD9n*7Vx$!F}TrZt7QxO)6=PzNcUb&17rpn3;PnB+)PLM@^ z8(0g~q-7=8%znPiHY@oh@uUN8GR_Pyw^$4_I1|-n*auIP#}3WA(_^>OUyi{Rxhk&n zWjjt0!5p7d%(c$5v*VZC-SaqRkOr}X_lOgk6a-66e0O!@;2<6VfdJPhsm7IzUzH5o z>QTZDg6VXY!eFzjye9Aer@Jp-1X&5uf(Q8{kr7IJo8Pggp+mEbe$9a>kRJgG-SJM z;qgsN(v|;Kk#E7A>1gvz_3TyhU#Aoj`&LmLt zzrZSHEjn@kDqtSHpEx$RR%qI68#~ z7mB0eS9AInBabnB-Wr^*tu=aq-@CMS&1}!pO#c(Qn-|YE>xcDG<*=)r@;|jPLD@?oH7Ul`j$XE5AqKr&cRd_|L-~2MRp!Hz?NN* z(2!3xVUF;KYOGCC7C~iZm2(DY$SX+zxuT@=BG96>^fjHQM2kH|hGY114aob1TS(N~!^2B*Eg!hDscP|6 z^lB)K$3>gzk8o(%;?%OM8$iuD*zg3e?qRGWSe3y;JAk}@*kVm#?bH7O2dnIyngE~- z9@;5L=b*)@9JUl>p4Qjw?D-{g@p!r^snn)mC)x7k0a}wHGY;|y6x9p%zTb5mX>YB=l(->d)Qo2 zlvD1Yt6X0%Pr??@5$(1$kxqBUh$-E~DCAXT|zs~`Zl(49#lvF(Y?+w} z2?tREamekeso!;iezS(o?h&@CSpN<+2%%A*h%yYb3ZvP=_TLiK6Vp#-sK0(JfPSvu* zC8jv6tDtq$ogmxQx{J;U7;g-bo0L9ahWF_sgUN>AAvV`M#>GVZk_NGZzZ^eFsV5c0 zR)t_)09$5Og^NZ}0&+oAC6(F)WtEMP4lbYLAm+ufA#|m3#R!Lkn9B1pUWSnK@R&{p zg+cHVC236z0tHh%JQl!45E%<*4RR|>KrR--TVrhr_InjnX4b?`5Ez&NLrc}zyAwLQ zuEc9#-K=Mp&ClQyeua02|BLIh=)n3{&a)`{bR^%cecHq68Y_?*ZW6-(9UIWndXMO9 z+6FAhu^?n=g`>FkE~VN=(aneLFezmzWUbY^X%Cy&yjusQ-f!In4*;Ct^k@e~Rd`ww z956i{0*CXOG7JX!+!R3c=Ru|*WUR^rw$IKHDMJ~y>{3O9(en%I1iq#|;TS~=L$o3_$Cs_HYtNJh)sTFPme^F zEQKvI>+ts!EfFQeMFT|(L#4KILyFAWR(?UCXXZjR;eAZ8R|i}9&BHXE3<`tbB})2E zX=0FjfT=G1UxI6fy>v)7u1!*AW;b2S$DWB2K$wN_CJg1;6l~`ZU1b(x;8l^^OF>{@ zmJe`w^+EdtI;)GbGR}vsmf6GErQnyGcM;6qs{E>-995rWyiZ4^16MK}r^m#riK`j6 zvzgu94%*0kzRbqN8?LRf`zpu&d9-GYS}&}-jn7?I$M@%K|L*OduhG@{Io$k^^&@hp zdR-J+H(hJC;g{}P-B0M}N?5l!gU>0)y5ld*akl2;Enw}1-!=0)wPS%9tGQDiY|ydv z07cN$906No=FG>|JLZIw@4+qh3CF4uttrCFY=m^N zXX!_cp{z=Q8HFH+cR>+ks=~#2cDRg1(%`MC4~ED$fM`t$8j_U1^srw3xlMLY83Z2z zTXreK4Hm~=RW8;MzobEH%CHX6qU(x0JgVZ@nqyW7f_qIo)4{F^DNiU2i$1I^R!-|X zcx&@%&-ZCq9-IB`LR@pMRUIEz&DJL)JLid~uT5wx50?^SnR(mAx}JBe->rzvxjyYY z?gbTD|9m^Zo?b3H2HrzVSmO*V;_Qv3$=r7>Lfs{3i}vkONF5Xx;?3IM5~4aLTW_zu z=`-8GTvX`t%9y}YOvzFOOY<~%#+b;vqPvGhY_5y0(Qt9y(SPyNFXZN$jSWv}u2B4QX-hgl*1q6_Rz+z ze}}=?1$ke=T9upbM&}9J<=i!SVWPzPIi>7pwHIlD8JM7P4{b< z=fhT*mGK4(PSUjHFcIFyhFWcc@;K-iWCPZE0=A*I-F%{nQ=&l>)o1JC0M+39jIgJ2oxy*+j zeXY5C-gj1eweEy-Vf(gnH+|TR*OI!LajA{$KySNj;X%tT9#G-$#d&vD=uCDVKW~8OiOxTO+^c}#oT1{3>wi>Ht ziEu%krBK5svCblo<>2)4WI@ubBCKqK-I3|IPdkRYV~w3ny%w!){nff8>t{Myv&J`j zjvcKzzurkZrnWKT=403F3CA0&(s}7xv0s~x7B4eF&cWrBW8JUEgHs-_{9X4SZSFhW zT(^L=S9Y@dv5AMxtODy{!*viJNS>fD&!V;<*{{sw1#f$+)AMy8Wq$%(nOvb4ai49V$k*H5`~#=_;{8)*H52A`QOEwI+F zXU>E?Z}*lDw5U{otHi@TtnT3$-zZ;&m51XrO+L2#?e5wHnFGpjU zifrimip)T2f%uib{n1EaC)nRK(mTD|DVVv_mj%R~V6n2EffUwhTy`Gx#0>z7s(j=+ zfN`YB{9(|$TdU|z6y$i zq59?apXa-)*hjFtLx{jod@DsF&=i%OBOIcXp>eZDNs;cZMPD4?v0qQ%}05y~K*tSDar zf|^8S=O~9rWe~7s7sQ&Pb8(2OL~9%}FJ$JMenTM345&&-X0a?r6dfM`w6Ruwn<6eweCT&f#u(XumT$B7R{gc1BHd@|$6S88+oF@|Jc$ z?Z3@BF)j7(zUw?9mBB$9K|UHkNvZ$WgJ)Tefc40lXI91=EKJ?uFqYskU{RImkLK|3 z%fpInc^3p%rNj(Dgtsw84@Fh*V@!$`>l~t#0cV3?0Z64tSgUdbtV7}~vnnEtkKIH5 z|1{OPsMIFD?IIfDfU%TW?k56~?_Cvi_T1&j-@iF!d4zeHmy3p0Q$BQKZ38hdpmk|9 zqPBzHTCU}DV?~zju55~yi>|>bIuEOgUHnpRQ-EO1&;*d%i1Z>$QJdz?oO>{2yj^~09iAuufHEY+!%#ha-4QEA^?fmPuC}U-DRzCce z@A@)K$ztMfie$YDwj8_-V3kpR!uihw{CJxo11DI78z+#)Cxh)&heCYdCaQ~?W`$h(;m~lX8CLt0O zKgR*Mn=+aVoriZw)O8NWv_fO%7snanT)>Oyt%v$75= z`T23au%|Ju@HM4rt)2mMmAk$Gk0|Ge(9{&4j)nvKq*CLZf10^I=B#zG ziPht`vtEZS(RTUQ$n08@tb3sbL~6jbZgLGNo`H*VZ5!r!rI@{Qn_R6X$q)5PXU3ey z;ltYZXQmG%WcHV@nWevET6wfHTXE&PpE9>Qw3*;NT)Q5}AT10I+G%YW7r52Lv(dpp z~#J2t`%CVC#_T$-@)OSsdO3hAQcOrAa{ut_nh9 z_Ir5dV3VQo99I!xbe9+T$)GB!)Q0=BM>ZAYpp8{|45AB;tK!(Is|3!%?EsSPAj8$U z1M-d|7!hFbh?h$iHtjPi%TV!?<<|cU8 zM712w<=P%$7A>uxz--T406?ai6eWI@Qo!njhH$!ylzwcCHqnq`#gKT1zlwCP|a zSZX(cO$ULz%VNk3E(MEI4e%3z=PA~A=Ig9+7IC3?xN&PgXu7xJ9AFV3eucd}fAKh0 z1_x~fDR28fd=Gwg`GckZ$?K6f&#aEvTOGc{5H$V;JpA&oB3s@C!G?U|;2?4h z5kKJTh$3Cx4wmW{kqWd}9Nv^cp8R|T2-*_1%&h65UzEVPUVG-ND$$xUEOCNy*X5Pf z^el}G^5@_kRFHjcXFc{=yf@7yoYm9I(?Up>R!^B=xb~!S`_VqI;RhPFFKz+CcMD<= zQksMfm+<34$XZwfY%P34o7z=9ZU~lAmrhS|s2@0(M|VWRjkYSU+3MjTZZAB>neqIR zhS81tp(B_F&E#+M!cvnFtUqkmIAR1F43~s7BiNgMd~ zsBPd#;uAb8`UXJ%1UJs$G05L8%J&$=n6eZ$*5-jAn3<65 zKyVUwQXcC{w5ALzvZ<;9AV4i4IEY*^LKF!P`{N3xsfI~INGUKBU;SN-6tpF50&&bR z&0mj!E!259i9YdoPHheeW}f4w<;x@Slo@o3gce0T)1Y^!*tcdQjCJ$f5UdrJEIzFb zKLBB2pWg1;AkVwDhqfYi@Os@c<7V<|xTE`-Dff5B&GtpC4s0-+EXX!{cG2Xm+}RRt zE9=E8(*Zn+WFAN|o?4}M_w0j7q6~!K^wd*+w1b-|Dmx+?V9PEhBs&nCQVGMQpexau zGOWm^stSMrH6-(Y@-ad@^Z>2lZZjzov{(plb-tCez9nph88}*NZ`zcL+u;}eL2**|NY+VRjVOE8Nk^4i# z*UsT!n9}MYRo0eV6=gya)pZZ;yeE|sGh~vffM(vn_-tG&S{z9HGWhEAl7bLi6-^xbRllIV->7WV-Y>Q_|ro+BAzd^aRDvs z;n@GJKb|v<=lGTyXJ1&Q$fNZ8!0tBN$ZA%Z*`iK43vU~{>$iQ|Brj(@-_2ox*;Pke z4K82Due7Zp^YGwvko^?RCbOaL@9%ZHo_7H zc^6nL@o*5ic&yHa))3i@N?MQ zTFy7#{s0my#{&Bni*VDbbEX%x>z@I1Cn?)0YbJBLJ!BhL^J2`lXpj08W`Wsdhfb-@ zwF;jq?pUFB_pHR!R|aC`0YpFS#UQv<s6Bpy&yg@3Jv;2`?Mo==p$qSGd1&6S+?xms^Kze&ATQX93(Ol_{s?%`MO;_m)Kc;k5u zQhEd%ZSu>HcII@t;rtOc?dcm~%gh4t+S`Tm1iR=Hk98$lQ-&4UR8;`Pt1cHed1hfA z#`8kR0W?#txxb1QM-d{G!9yECKFe0n2Kyst;_TNB31h?fkT9;p1{W;ej$bL(V_*qg zU;3}$6iXmJMir`(N^Oq)CG0p`fjnYrLLBGeAaVi6K|5Rg?1;4j?$=6mWF9nfOKHoG zsjP*x3L1}TSQQ4ntvYODY^!x9HeuzgiK`j6w2_tESq{QZw!75|k*{^|k?FVztceRu!SgXiKE#6q?AS#zgIiVjA)VlmN*H+RO0=d7E3&Do0Ql(m%@Trx z$c1)*NWKqnuZc)C%qv2GGI(esNad`5fJjSJb`Br}DT9YL!V(5~7Y~ym9(Iw7$Ld^Y z4IwTME3#!>ZhnnuLpVByUg}5&)RT@nVtC9fTxB8SiM`tTn%4MGP%jPJS4M;<=F1) zqoON`t0v#ty0zK4Dy?T@+~vGo1e?ewm_o_Z$*4c!Y~xcLD(sf;nl1psQ~XP?vlC2!VhG*s@CFR%BDnOn?Bc3Bf3GMQ_!9A1#%y^ywc=CIdSY0tANQtItacdPKA(oc+AgLu4)KJj=?Z4L<{WsiZ#mM0H4OFSGzE+`z{rqWWVd-MOgY23?r z-43*zhYTVWrs_8tU#+@%jXAcS_%_(}0Q*eW4b8*VaC)JI{q;vtJuZ3~^!>81TGSq8 z)cCAsBV-z_?)LQcn7*Ss#dTQSS?BmQHcXa%{`ispmkR(v@EyTA^O_i7`(Li`2p&Ql zQjPd1*udF(|unP+(RdUu6Yjk(nemV3^O3^png9 z}hF`Y)?xJ`6DZ75jucx6kDT6Tlj6D)aa21*qWa9pA;3u+kRLUR>c@X7d zqS7zBPUYe#U5VE0!^|wH;P9J*eq;4Mu-;s}HZHrE{v^zX`&^O4@dn(-lxz>HAX(vZ z%Cpghc5X2p^3JK#!E<>30 z8boViZ61jPY-&i^1u<30Fms*nn_Ue&FC#Pdm^CMseHMhpMPi;jH~H+j5q{y@(1D-- z?FOfnU3OR>j;}!94_b0MIElLgj~i|m9&!153@nzo|NEla}(vr;W znnOIoL?v32g(VS`bqRTq-xQTAMx+KskERb&$WDfsfslLPi(g$FZKE7aLKB0UR@Y|O z0tf+KbP@_v6k(2Vh!O^^iM0`Y1+412a`A{UMGyeoiNv1>=LP2ZcHsYbzSQ4p=Jv0| z)yfZdrJBR8w&QBsmHMvW{)G3AT?=gm_XWo@+PXg#D2~hhcO5%45KiGN@g50`c&R+x{OgNI@7_;@}{%^EhP?h6q9k zi7q!&7mO`&{HQ$n1~893!h}Ifv8Gb}a+FYCQ{LwuQPPl8OjXEEv48kzp z%Pr(VuY%As6dBzl5Pyo1m<+Iiyl9P*$bHECi+V z649!uV0`4)(&tCVv8sX(kj<1w_E-uVYa^hDX@G84bbO^j;2+7@c2j<6>}W*QII6tfyFF-3HdoYOc~tYFQ=b ze3>mMPh1p!L4vm@gl3fDO<&yDcaUvhv8$OemR_C$FFbrWyyns2PQ(=sPl2mAPr)Z@7YZ} z>>Yw&vBbe)fSvQ7yhUbK>wvf7|F+&EpJ+&t89Yr%f6;{Cid=CXrt=cfg3WmQC{6}( zR$>N1be^w+Nlb?r1cN0POJO4j0YNacAcI{IX03xo7__DgtGZ4|w+=I8S|Hzco!GW4 zNU!h5^3`@rjvJb4= zq0+S=y>%tb16ns*kG)0Cc~up?ccF%x?`dTdMEhEGr>!!gU51TJ9BuwJ-V~gPL`4_7 zmzm_$_(7MM(3;BCjBF#rzLA;d@S-jqODCS*a-Qndg>XV_+FWB$lP5MhIAC!?ZqF}B z${-AAN&@ll6PgqROHH2G=-?2ue}w@{$`B16+B}GIfooMh>fHOB>n@c5I2MmL0ird> zFay)N;O9dHfu31EAJTb=9`#&oC4Pw_9V;L)17VOa$-$(0+cSZwBE&hD!j_ql)xPWQ z>Piu2nhsQjL2I(GUIb-bLSE#r3eKF$RgI_`@2l3$j11dP#}yIC&ULn*$kfebHq+hi z%o%&MtP{APb`bmOp&eLl0D1UjgHv|eH+|y52IZP5$@G}xP~CyeNhEwpj532QU~kU& zn@rXnhdQ6>(u*o|;FQ<>yq2#!jhzX8*>XcNv&?q*&~1Qgo>guKcAF=cPi+#Pgu=90W^EJg0GR5ZQU$09$r3oA)(k2s5hZm#jo< z%CM;+Wf$bH3PMG5p$Nhq!lmvph#okuiesy$#mbn0_&DF}2M3clFEI#)syMbTgsm{E zLc+-WE)-$bI~d1?2c@aNs;(2#iE|7^RlXoeAiyCB>zByIg-1Onmoyv8$o3CSmSgjl zo9l4$^2T%2;yTF)WpqNdQ=Qqr#D4Vj@}e|7pzC#aE*hbv`J!YP^wjd_Kn^*u&{f4( ztxJvfR^TSE*GJC_i}vRBhBd5_L*Y7aj9%#G7RJ@W%(=w()?JDXTb>$ow)LOVZ8*&^ zU!QHIGm3crt~BhCnkwlZB5JPJ>s|H-m>YAdY;u!xXS)cyLvQj&lvlHsYf_I4uIPO* zJO(FpByH6B7-w4>aJmwR=Rtnk?^@=HL-+#NGBYHs3uOp%ghy0kZIZGGDl;PhRD~u4 z#vR0Y`8$Y?XyNQYQ4@Ugo8XXW@o~%`4dN^~b}JN#Q*TL{9__IA$XR9<$XKwV1mt3I zc#DPrA~YW6GHkjUDlSNFNhILm*fT3^+=Btec1vYH&f zFg^BiFjp_rK`_4)BV%yFuDHVLQfD}?_DrtzzipKP$*Ee_;9T5N-Oa_~mFxX7CY4Qt zCH(r0={3{AY@X>50V}mdmvz71_&NE=c5W`!PSx&l-T8LsR!=+SqBWuwzUF5EQ!QBA zPPnd)z+0xC8~OK#R_Q9>T~XlPLnwCq$bfN8@J;vEX?O1j*OUPlGa4QYmwb>wv?c|y znF+2_pdd;Zg37xzI~mxd-ZXlj}4!(`3EsH ztZu6lD<<6Fv=*^;H#D4w8b@5T<9n8?IS1PZ?2iryPn==gDOd<^%HUzYWM>9>U+ttW zIEa78rOU4zNSNe<-mx7Pcl%J=B@G}l)-z?$ugYt-dU#y&Yv@y`cXWFUAi6d*B-94j z^tTQ#JSQ4w%lC|cSCt&I7~pX*V%%dyYyRsmGAEEdhnn*&dl0$D5U_cuv35CZnb|mh z{`lcSO~v4d`7k=OJW`r6tVaq_zPcLVQI`@kL_LAb&-nE%zo_ZLfAfCt_$!Wh!>?}m zT#A%I7`|kO1QJ|@CIul;RgQp7Ac|QPF&O`(>l9%aP_!^;O&K;dr0f#Ft8XP{)u6KR z9y8(evJ>pjPhV*x;whL7x7S8S%iL~s*ePH&DrwajX*{@~SA>JKx?@n4_pUn!hYS9a zZZAAe8E`o#gSdrwwiIqEuvCTb5DpF^JC7S+%PvS*l{*rI`z;Vhcto|ydDqpWWjMKBCFbH0eZvfGn806t=iHE}i*a#wH!3>p~B1%9m z7Q&k_XiXW`A$rvHNma<(B!a-eEFaji(%t7nkI}Zk9+STii#hTlLkF7X_;cviTT{rc z&AwCUUTL1ILf7_OE9q}8GuZF6(#~M0UFlZq&RgToo8Eb_qw}EA%7W=W$BU=6ym!^l zhr+}A^pU}A3Bf~bu6c|}Lj013xXCAggrB90n(@I|j)x<9J&XVT7os z6RiQWNBD|Pscgg^ZtC(1ai*wTF~Z?sOwmJ87y7`%VLBNU2Ej}4)tVv5HEl^f5-xx( zGebgCLQDXE~-hF#mh#PJfF+;kuB;tWo|wnmvN71R|fSkO&=M` z?y&GBN5j|7;b52_=oMj%YtrEW2Fz^FuK=Py4>AS8r7B0jCXmR?MP^k*7(Ks432{cm z3~&gwy{9w^RyU;Vg0xlf{6jxkW{7fl8xxAOq0b8OB=E5C*Tc60_*h{OE9E)Xni%Ba zTa#m8BZ#Dob8P$0vTyk``&vNLNa6KZ1?}B?2dc%L5d|*vSu%8X zMUJVs6aS^n0hgQ0|4uKyv%Zm6pyk683BT(n73gY0-@z(2*F44~A%01N*yO7{Jt623 zK~H!ygkWC)n?OV?m^BDpi4x+afO#kksN1j zknlL;fD(Ch)=xCtb=|)Z38zP^aR!e;wYEv8Vm8_JSXKHlYICE;%ycV32IBCBB0u1l z=a((~XOcX;P)q75AJ_Q?5Mnnu9flwe3Uy&P503?~MP{GWA=&Eq6xQ><8UzCe8l!V8R8B;fCN{e8G;CT>hiH$3|nSahh*yw^Hzirg`$N)Ys#=5 z8PIjCd&Do;r7~-QXNEBm==9=3Loj$Wi|CV=fo#2q9yTN^RRPLs4S_q5ojDP{Pj& zEQ~FNVH(s0C5*(6j<-C#IoJPf^>)ifefey3oIP~?x0bTD<;p*^NHXjOf_17Yyf!p_ z7I;VL?spsC#f+kJPcV58*9_dzP8WW zK7Rn`mC+2Cuk<5jjB+>-kF9p0qw|y+##zJ(Ool7AIDQ2X!a>x;A9L>h4zvw&>ESe; z3=Oblmyi)zD{>VHr#`VWAwU?krVQ&4J?^^t-_}q1%K&~A5d;8N7P#&p_EUu4fE8w+ zCG~b$(Pv_(ovLVtuzTZ+0d#u6Yb1#4l;U zr1Q{*MJ1k?q)9;zHw4H)$kDH41(!&d|zH=yIf7e>?PO9I`Jpt&(C zp9bH6zxH09A*lgYxU|e>5s)2V6+2Hk^0Xq{qq1RjcjhAeik*jBegDU49l}}E{lP(t zd;`d5-Bg31@SpNuz_ltP*wI~$LrmD3OBIrh9@&QyE;E z8#C)XC=JYTs4$?c%WpGeIKm?enTJ!JDMaou#Doe&kfA8cVLfu@nSES@8w~UloWMz+ zc#O;3@hde@Ydp+(Sk-miGXz(q#4H5;l;6MP*AtL_7F5O?{a)|*E&CC^KhK{_0ZAEz z;SEd?B)AGq3W8fzj(|-dnpqVR#@}6ZZ}2si5OVPtMZe)!GH6W&R%AohmoS?Uh|EB0 zf%rL}V7DNDA!H}m-xTQVuU?xOuIs6|sbc+ce`k|>w-KGE4)&dO=y|@7s z91rS`Tt^s*+Y66x_(lAZ27Lz=niPbpu~ZGdty-5G>!{1~zC;ckaJzJZc0pYm z6wB3%tk(g3hp=!0Lj>XLm{3qaS(PExlZO{*u{gX548^xnB!W#* z**U@?N*T<}O25HFtSKrN>Y7vAc>cERE1Q=t#mubbv=08XaL1w1ap5n~FCAE^18$`} z*)&gCEtJj6PBFvYhNry=9E*QB+)Fu6Ivc~T$w=)Dd)@r&x_OC}8iEehR-Jv-x`}bl zqkh{7+Jt63DRxC`3pFHcJgp6xR(84eD<@1B>B@Ou(yi42z6QciReL0CotR-6#<_h& z)Np-!93uk^#4qvO6uzx0e`Zi@`{8ej%FYoUQOe+;ZFuT|ni2h&=4|CHM zal?SM(L5n6o&%2@F-zmC~dj z1e>C=bA&^bGGISJu!!1l#d~NY4;&lfKG3;1BxWFl1Ef-$f=xk=1M}ozFeS^(jLJLU z*KK!@ePF-sr_B!aT9Dsb4)g3Z&wVZLonZI5qqj8ee3`YdfAM+N9WcK+i`(Z8f_vy$ z%ImXaHJcQ+2W!gtE@Hbd+Xb}$Rr)p0YFjR9bKAkI&P+WSG%-}WdyevmR0aoa1gSi2 zz^y9y^Gzx{>pY^A!9g2gJqCFfYmPo~q~n*&#bfo{q%|IKd03m-9fE7}#6|}PkqZhI z!keH7MODxVkqXRN9Nv@xODDkskV=uTR^}w#|lV1U5^+Twc$UC zJ^W%2VSpfqgFG|qvj?er%s)^g413|NiYn)AVOEY-n`>)P>i6ZtM*W(QIqdG6cJP%weT8Cgy7HOl12(ZbV{p*s z9vu3!6rU}E8(d4``HvYp7)34~#|+7!H6C&8k2JHHU3Cr!Q)bW+-ULM`OcAMu{g^|P zGGNvbEC6}GTqInoa>=W-%FYoEQOY1-%PxqmitD<2e6yP1mHNcTs**}=xW9OQ=^zJf zmOKnqam+whSq(WyBbQ+QXTS!$zuF+@N+~h3&RopDC{HUD;AI|MfHm1~BX_>crv0L+ zVl>7|RFx~NI42kHc7biE(^G@fvvhGMVC_$Uu@Hh5#+_AIrU-vl1W!%o+MOD)fLB@1 z&i3|yw#34R1r-{tH`gUcp+~JaM+EI~Z}&J>1_y22!5^LwqUQf^@9uTuI<5c!Us7~T z8-h*4v7rD$a-F15sUiVX1jvPB1gZiRDO2bHg21Ia4-ywHQuq;ErpzO-$YZ3?BP3ml zAk3WQ-b-H1{o`_%yQ01U_UF!=IcMhEpSx?5R1?FiNwa)uAbXzNnlseq#~rtvbqxqrrO}j zrY5{4V@;?ZWNQv`RX1ML`XxU;Zzub#$LqBtb3dcd#%=rdV4rX|+34x)!#W#qlX7kB zZ`wOEx6RD>_J`yB^$lrtyUwP))7_@--q@Y9dTeB;{YzgoFSopk+5ftAw)%~qEmz%o zy49zSiL9xrCq_3N`uV04cRnx8SJ=t>*L>NVM0~$6`0n4EmcCpUZs2ahV$tz>Z=&4d zhd%;)AFlIplP{|by@5J<+amq1G}p_%7hyB)d1PPx*^m^G>z8*E_lxz^(c6}7;$S$W zj-i=W$9OMSK91^jzH|d+PZPt#Wqa9%L*_+Ne*vpm1op3s~Bh> z<9hYepu;<8Cq;tE)&@2a6}fV&}e zE~@XZuce81w_CS#>kNBLF!iw3v98_;U~Zw`<)?TPLA}#UJ}%B&DWcXV?)XzPFRSZ4 zy|QWcVf`-WYVzfJ*snH+m0wSEM|}x05F7t%qJ7ofglVg=O0! zO~m(B_C@qewoj`RSEzqau#?##CQ z>xdC}9;^N!U%p(=XfyN%QvC0wu1JLTd)i6s91}Nx+PrLAq+HoVz009j`KN??TZmk_ zl{+bW#>;jf;~|%2Ca%X;HZf&#=xlOyXM>;YEb~<27wcE&RLp+H?qX$WKU>><*=A^C z@wU15)?9w^9$80m0vL(A>VBH<_D)di(?Am;#XudsZIS*ds&`U}u*r6dvP~o&UfE>H z7<_PA)p6Typ^1asiqm7+(?r~G*U%=-&Ka zEC}9SNbwWrO*rnl#Y4SOYc`s-qe=CGyxqh4dTe^V;~pGu{IK4Gz1zZwKzW833G8+E zPKxb|Xu;_sLQ`alvY*ABc2eA*ZAIBSPiZqWF@5+fH69XkX4>-<0X?UD+}lYV5oOPK z*?#V@`7+y5MD%jCQwsie zVDh$?YJIj#F{2o0qPHzF@zpNEW?PXx&qy(=7-*umEt}$CI3z~qS`z`iT=}?oEXtk~ z^M=dzvJHmRF?puNpEIh9mCZ~teCPt*JI0|e)cS5B@bo6ZVhIo+aDEGdbc?ySn7aNr zIG>@J57}6vL5iw%wv^isZ$To7@?f$(Hicn}}$)km4Y>@)=h2q=*|V z+s`%}5|gLe=*p%hy(MGu3eyOT3&hL$O>T?cGPl3M{giFCzmbI*=k~k5l`Y)BKEvkl z_P4gXUfKGi;9YNcCuP&zF3u)@9b#_wEyfqD>=xO}sdd@4jh?v=FFPtbxAen3W9F5O z|CCL<)K_BhVu+9Ei(2tnK4!HUnmFivALxpVf3;imC1=yJ<134$&b1T)-4-HOZsktO zp7FB1Y{jn9s>1x$z;8gelM4QJVDh$DR*p_FqZnwSw=ME$cn2|QQ7f|NSt(`~15Na{ zWm6mshcq!X)0!CX<;us!PR@#+6!V75_OcC!#N@fwL_jas+>G(@_;uSowB3}Qd+R@6 zzc}}>;hB=#URgXl_R#iIw%)@|mz6x+l`UJpC;|is5FkK+z*{Bo+3)FkAzsvIXd2hRPllyQ4UL4L+tb_mo0&khX z>pun5yxwt-Jnr+}?$N_!D+Jy$f%w5$jQ{}x1a>5l&gzrXqt91=GvV~~_|K`XuisR4 zb9#3C&AK!HS^jUT&sII<&7uWekUtnz~(Wu`3xz zIl9ZxZm8_J%}%G*a|dJjUpY)weH`b|qc5tDnv=8ZH~DX5X})@T zoUb0{OZ6aM&f`vgZVq-0Onghb2D~LG{5@l@)6oN{lLV5 z)gMIHYN<#6esy%U?G$ftW9_(p_WO7GgYQ?zJk5s&n?M_rB`ehwltc9H@Br zUCZ`(_v?CdD)ygA*N>LJkN)f8C)I=hUc7r=U0fVJJ-_(6dbq`|f!Fe#cI6K)`NXj4 zh6a{8kZT}nS=R^gh;^s6>g;!4RsF7K5ipQzU=ho@26AoM^@}P#&c)}tU#{*|)gP*7 URh*w6=QDP+(<)BX|NPPa0YP;e(*OVf diff --git a/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.sopcinfo b/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.sopcinfo index c3d9cec5885..91d6c0ac929 100644 --- a/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.sopcinfo +++ b/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da.sopcinfo @@ -1,11 +1,11 @@ - - + + java.lang.Integer - 1467417594 + 1512455752 false true false @@ -98,7 +98,7 @@ @@ -227,7 +227,7 @@ the requested settings for a module instance. --> java.lang.String - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 false true false @@ -250,7 +250,7 @@ the requested settings for a module instance. --> true true - + @@ -615,7 +615,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -676,7 +676,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -721,7 +721,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -798,7 +798,7 @@ parameters are a RESULT of the module parameters. --> irq - + @@ -855,7 +855,7 @@ parameters are a RESULT of the module parameters. --> sout_oe - + @@ -943,7 +943,1893 @@ parameters are a RESULT of the module parameters. --> - + + + + java.lang.String + altpll_avalon_elaboration + false + true + false + true + + + java.lang.String + altpll_avalon_post_edit + false + true + false + true + + + java.lang.String + MAX 10 + false + true + true + true + + + java.lang.String + 5 + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + 20000 + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + NORMAL + false + true + true + true + + + java.lang.String + AUTO + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + CLK0 + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + AUTO + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + 1 + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + 2 + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + 0 + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + 50 + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_USED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_USED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + PORT_UNUSED + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + NO + false + true + true + true + + + java.lang.String + CT#PORT_clk5 PORT_UNUSED CT#PORT_clk4 PORT_UNUSED CT#PORT_clk3 PORT_UNUSED CT#PORT_clk2 PORT_UNUSED CT#PORT_clk1 PORT_UNUSED CT#PORT_clk0 PORT_USED CT#CLK0_MULTIPLY_BY 1 CT#PORT_SCANWRITE PORT_UNUSED CT#PORT_SCANACLR PORT_UNUSED CT#PORT_PFDENA PORT_UNUSED CT#PORT_PLLENA PORT_UNUSED CT#PORT_SCANDATA PORT_UNUSED CT#PORT_SCANCLKENA PORT_UNUSED CT#WIDTH_CLOCK 5 CT#PORT_SCANDATAOUT PORT_UNUSED CT#LPM_TYPE altpll CT#PLL_TYPE AUTO CT#CLK0_PHASE_SHIFT 0 CT#PORT_PHASEDONE PORT_UNUSED CT#OPERATION_MODE NORMAL CT#PORT_CONFIGUPDATE PORT_UNUSED CT#COMPENSATE_CLOCK CLK0 CT#PORT_CLKSWITCH PORT_UNUSED CT#INCLK0_INPUT_FREQUENCY 20000 CT#PORT_SCANDONE PORT_UNUSED CT#PORT_CLKLOSS PORT_UNUSED CT#PORT_INCLK1 PORT_UNUSED CT#AVALON_USE_SEPARATE_SYSCLK NO CT#PORT_INCLK0 PORT_USED CT#PORT_clkena5 PORT_UNUSED CT#PORT_clkena4 PORT_UNUSED CT#PORT_clkena3 PORT_UNUSED CT#PORT_clkena2 PORT_UNUSED CT#PORT_clkena1 PORT_UNUSED CT#PORT_clkena0 PORT_UNUSED CT#PORT_ARESET PORT_UNUSED CT#BANDWIDTH_TYPE AUTO CT#INTENDED_DEVICE_FAMILY {MAX 10} CT#PORT_SCANREAD PORT_UNUSED CT#PORT_PHASESTEP PORT_UNUSED CT#PORT_SCANCLK PORT_UNUSED CT#PORT_CLKBAD1 PORT_UNUSED CT#PORT_CLKBAD0 PORT_UNUSED CT#PORT_FBIN PORT_UNUSED CT#PORT_PHASEUPDOWN PORT_UNUSED CT#PORT_extclk3 PORT_UNUSED CT#PORT_extclk2 PORT_UNUSED CT#PORT_extclk1 PORT_UNUSED CT#PORT_PHASECOUNTERSELECT PORT_UNUSED CT#PORT_extclk0 PORT_UNUSED CT#PORT_ACTIVECLOCK PORT_UNUSED CT#CLK0_DUTY_CYCLE 50 CT#CLK0_DIVIDE_BY 2 CT#PORT_LOCKED PORT_UNUSED + false + true + false + true + + + java.lang.String + PT#GLOCKED_FEATURE_ENABLED 0 PT#SPREAD_FEATURE_ENABLED 0 PT#BANDWIDTH_FREQ_UNIT MHz PT#CUR_DEDICATED_CLK c0 PT#INCLK0_FREQ_EDIT 50.000 PT#BANDWIDTH_PRESET Low PT#PLL_LVDS_PLL_CHECK 0 PT#BANDWIDTH_USE_PRESET 0 PT#AVALON_USE_SEPARATE_SYSCLK NO PT#PLL_ENHPLL_CHECK 0 PT#OUTPUT_FREQ_UNIT0 MHz PT#PHASE_RECONFIG_FEATURE_ENABLED 1 PT#CREATE_CLKBAD_CHECK 0 PT#CLKSWITCH_CHECK 0 PT#INCLK1_FREQ_EDIT 100.000 PT#NORMAL_MODE_RADIO 1 PT#SRC_SYNCH_COMP_RADIO 0 PT#PLL_ARESET_CHECK 0 PT#LONG_SCAN_RADIO 1 PT#SCAN_FEATURE_ENABLED 1 PT#PHASE_RECONFIG_INPUTS_CHECK 0 PT#USE_CLK0 1 PT#PRIMARY_CLK_COMBO inclk0 PT#BANDWIDTH 1.000 PT#GLOCKED_COUNTER_EDIT_CHANGED 1 PT#PLL_FASTPLL_CHECK 0 PT#SPREAD_FREQ_UNIT KHz PT#PLL_AUTOPLL_CHECK 1 PT#LVDS_PHASE_SHIFT_UNIT0 deg PT#SWITCHOVER_FEATURE_ENABLED 0 PT#MIG_DEVICE_SPEED_GRADE Any PT#OUTPUT_FREQ_MODE0 1 PT#BANDWIDTH_FEATURE_ENABLED 1 PT#INCLK0_FREQ_UNIT_COMBO MHz PT#ZERO_DELAY_RADIO 0 PT#OUTPUT_FREQ0 25.00000000 PT#SHORT_SCAN_RADIO 0 PT#LVDS_MODE_DATA_RATE_DIRTY 0 PT#CUR_FBIN_CLK c0 PT#PLL_ADVANCED_PARAM_CHECK 0 PT#CLKBAD_SWITCHOVER_CHECK 0 PT#PHASE_SHIFT_STEP_ENABLED_CHECK 0 PT#DEVICE_SPEED_GRADE Any PT#PLL_FBMIMIC_CHECK 0 PT#LVDS_MODE_DATA_RATE {Not Available} PT#LOCKED_OUTPUT_CHECK 0 PT#SPREAD_PERCENT 0.500 PT#PHASE_SHIFT0 0.00000000 PT#DIV_FACTOR0 1 PT#CNX_NO_COMPENSATE_RADIO 0 PT#USE_CLKENA0 0 PT#CREATE_INCLK1_CHECK 0 PT#GLOCK_COUNTER_EDIT 1048575 PT#INCLK1_FREQ_UNIT_COMBO MHz PT#EFF_OUTPUT_FREQ_VALUE0 25.000000 PT#SPREAD_FREQ 50.000 PT#USE_MIL_SPEED_GRADE 0 PT#EXPLICIT_SWITCHOVER_COUNTER 0 PT#STICKY_CLK4 0 PT#STICKY_CLK3 0 PT#STICKY_CLK2 0 PT#STICKY_CLK1 0 PT#STICKY_CLK0 1 PT#EXT_FEEDBACK_RADIO 0 PT#MIRROR_CLK0 0 PT#SWITCHOVER_COUNT_EDIT 1 PT#SELF_RESET_LOCK_LOSS 0 PT#PLL_PFDENA_CHECK 0 PT#INT_FEEDBACK__MODE_RADIO 1 PT#INCLK1_FREQ_EDIT_CHANGED 1 PT#CLKLOSS_CHECK 0 PT#SYNTH_WRAPPER_GEN_POSTFIX 0 PT#PHASE_SHIFT_UNIT0 deg PT#BANDWIDTH_USE_AUTO 1 PT#HAS_MANUAL_SWITCHOVER 1 PT#MULT_FACTOR0 1 PT#SPREAD_USE 0 PT#GLOCKED_MODE_CHECK 0 PT#SACN_INPUTS_CHECK 0 PT#DUTY_CYCLE0 50.00000000 PT#INTENDED_DEVICE_FAMILY {MAX 10} PT#PLL_TARGET_HARCOPY_CHECK 0 PT#INCLK1_FREQ_UNIT_CHANGED 1 PT#RECONFIG_FILE ALTPLL1509345238202295.mif PT#ACTIVECLK_CHECK 0 + false + true + false + true + + + java.lang.String + UP#locked used UP#c0 used UP#areset used UP#inclk0 used + false + true + false + true + + + java.lang.String + IN#WIDTH_CLOCK 1 IN#CLK0_DUTY_CYCLE 1 IN#PLL_TARGET_HARCOPY_CHECK 1 IN#SWITCHOVER_COUNT_EDIT 1 IN#INCLK0_INPUT_FREQUENCY 1 IN#PLL_LVDS_PLL_CHECK 1 IN#PLL_AUTOPLL_CHECK 1 IN#PLL_FASTPLL_CHECK 1 IN#PLL_ENHPLL_CHECK 1 IN#DIV_FACTOR0 1 IN#LVDS_MODE_DATA_RATE_DIRTY 1 IN#GLOCK_COUNTER_EDIT 1 IN#CLK0_DIVIDE_BY 1 IN#MULT_FACTOR0 1 IN#CLK0_MULTIPLY_BY 1 IN#USE_MIL_SPEED_GRADE 1 + false + true + false + true + + + java.lang.String + MF#areset 1 MF#clk 1 MF#locked 1 MF#inclk 1 + false + true + false + true + + + java.lang.String + IF#phasecounterselect {input 3} IF#locked {output 0} IF#reset {input 0} IF#clk {input 0} IF#phaseupdown {input 0} IF#scandone {output 0} IF#readdata {output 32} IF#write {input 0} IF#scanclk {input 0} IF#phasedone {output 0} IF#c4 {output 0} IF#c3 {output 0} IF#c2 {output 0} IF#address {input 2} IF#c1 {output 0} IF#c0 {output 0} IF#writedata {input 32} IF#read {input 0} IF#areset {input 0} IF#scanclkena {input 0} IF#scandataout {output 0} IF#configupdate {input 0} IF#phasestep {input 0} IF#scandata {input 0} + false + true + false + true + + + java.lang.String + 0 + false + true + false + true + + + java.lang.String + MAX10FPGA + false + true + false + true + DEVICE_FAMILY + + + java.lang.Long + 50000000 + false + true + false + true + CLOCK_RATE + inclk_interface + + + java.lang.String + MAX 10 + false + true + false + true + DEVICE_FAMILY + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + inclk_interface + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset + Input + 1 + reset + + + + + + embeddedsw.configuration.isMemoryDevice + false + + + embeddedsw.configuration.isNonVolatileStorage + false + + + embeddedsw.configuration.isPrintableDevice + false + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 16 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + inclk_interface + false + true + true + true + + + java.lang.String + inclk_interface_reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + read + Input + 1 + read + + + write + Input + 1 + write + + + address + Input + 2 + address + + + readdata + Output + 32 + readdata + + + writedata + Input + 32 + writedata + + + + + + java.lang.String + + false + true + true + true + + + long + 25000000 + false + true + true + true + + + boolean + true + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + true + + c0 + Output + 1 + clk + + + false + ext_flash + clock_sink + ext_flash.clock_sink + + + + @@ -996,7 +2882,7 @@ the requested settings for a module instance. --> true true - + @@ -1061,7 +2947,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -1110,7 +2996,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -1178,12 +3064,6 @@ parameters are a RESULT of the module parameters. --> 1 clk - - false - onchip_flash_0 - clk - onchip_flash_0.clk - false nios2_gen2_0 @@ -1202,6 +3082,30 @@ parameters are a RESULT of the module parameters. --> clk timer_0.clk + + false + spi_0 + clk + spi_0.clk + + + false + led + clk + led.clk + + + false + sysid + clk + sysid.clk + + + false + onchip_flash_0 + clk + onchip_flash_0.clk + false onchip_memory2_0 @@ -1214,8 +3118,26 @@ parameters are a RESULT of the module parameters. --> clock a_16550_uart_0.clock + + false + msgdma_0 + clock + msgdma_0.clock + + + false + i2c_0 + clock + i2c_0.clock + + + false + altpll_0 + inclk_interface + altpll_0.inclk_interface + - + @@ -1277,10 +3199,1903 @@ parameters are a RESULT of the module parameters. --> + + + + embeddedsw.CMacro.FLASH_TYPE + Micron512 + + + embeddedsw.CMacro.IS_EPCS + 0 + + + embeddedsw.CMacro.NUMBER_OF_SECTORS + 1024 + + + embeddedsw.CMacro.PAGE_SIZE + 256 + + + embeddedsw.CMacro.SECTOR_SIZE + 65536 + + + embeddedsw.CMacro.SUBSECTOR_SIZE + 4096 + + + embeddedsw.dts.compatible + altr,quadspi-2.0 + + + embeddedsw.dts.group + quadspi + + + embeddedsw.dts.name + quadspi + + + embeddedsw.dts.vendor + altr + + + embeddedsw.memoryInfo.GENERATE_DAT_SYM + 0 + + + embeddedsw.memoryInfo.GENERATE_FLASH + 0 + + + embeddedsw.memoryInfo.GENERATE_HEX + 1 + + + embeddedsw.memoryInfo.HEX_INSTALL_DIR + QPF_DIR + + + embeddedsw.memoryInfo.IS_FLASH + 1 + + + embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH + 32 + + + embeddedsw.memoryInfo.USE_BYTE_ADDRESSING_FOR_HEX + 1 + + + java.lang.String + MAX10FPGA + false + true + false + true + DEVICE_FAMILY + + + long + 25000000 + false + true + false + true + CLOCK_RATE + clock_sink + + + int + 3 + true + true + false + true + + + int + 24 + true + true + false + true + + + int + 4 + true + true + false + true + + + int + 32 + true + true + false + true + + + int + 1 + true + true + false + true + + + int + 1 + true + true + false + true + + + java.lang.String + Micron512 + false + true + true + true + + + java.lang.String + QUAD + false + true + true + true + + + int + 1 + false + true + true + true + + + java.lang.String + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + false + true + false + true + DEVICE_FEATURES + + + java.lang.String + 10M50DAF484C6GES + false + true + false + true + DEVICE + + + java.lang.String + 6 + false + true + false + true + DEVICE_SPEEDGRADE + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + java.lang.String + clock_sink + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + flash_dclk_out + Output + 1 + dclk + + + flash_ncs + Output + 1 + ncs + + + flash_dataout + Bidir + 4 + data + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 64 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clock_sink + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + 0 + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 1 + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + int + 0 + false + true + false + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + avl_csr_read + Input + 1 + read + + + avl_csr_waitrequest + Output + 1 + waitrequest + + + avl_csr_write + Input + 1 + write + + + avl_csr_addr + Input + 4 + address + + + avl_csr_wrdata + Input + 32 + writedata + + + avl_csr_rddata + Output + 32 + readdata + + + avl_csr_rddata_valid + Output + 1 + readdatavalid + + + + + + embeddedsw.configuration.isFlash + 1 + + + embeddedsw.configuration.isMemoryDevice + 1 + + + embeddedsw.configuration.isNonVolatileStorage + 1 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 67108864 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clock_sink + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + 0 + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + true + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + true + false + true + true + true + + + int + 1 + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + int + 0 + false + true + false + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + avl_mem_write + Input + 1 + write + + + avl_mem_burstcount + Input + 7 + burstcount + + + avl_mem_waitrequest + Output + 1 + waitrequest + + + avl_mem_read + Input + 1 + read + + + avl_mem_addr + Input + 24 + address + + + avl_mem_wrdata + Input + 32 + writedata + + + avl_mem_rddata + Output + 32 + readdata + + + avl_mem_rddata_valid + Output + 1 + readdatavalid + + + avl_mem_byteenable + Input + 4 + byteenable + + + + + + com.altera.entityinterfaces.IConnectionPoint + ext_flash.avl_csr + false + true + true + true + + + java.lang.String + clock_sink + false + true + false + true + + + java.lang.String + reset + false + true + false + true + + + java.lang.Integer + 0 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + true + true + + + com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme + NONE + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + interrupt + false + + irq + Output + 1 + irq + + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 25000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clock_sink + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + + embeddedsw.CMacro.FIFO_DEPTH + 16 + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.USE_AV_ST + 0 + + + int + 0 + false + true + true + true + + + int + 16 + false + true + true + true + + + int + 4 + true + true + false + true + + + int + 50000000 + false + true + false + true + CLOCK_RATE + clock + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clock + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + rst_n + Input + 1 + reset_n + + + + + + com.altera.entityinterfaces.IConnectionPoint + i2c_0.csr + false + true + true + true + + + java.lang.String + clock + false + true + false + true + + + java.lang.String + reset_sink + false + true + false + true + + + java.lang.Integer + + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + true + true + + + com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme + NONE + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + interrupt + false + + intr + Output + 1 + irq + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 64 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clock + false + true + true + true + + + java.lang.String + reset_sink + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 2 + false + true + true + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + addr + Input + 4 + address + + + read + Input + 1 + read + + + write + Input + 1 + write + + + writedata + Input + 32 + writedata + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + sda_in + Input + 1 + sda_in + + + scl_in + Input + 1 + scl_in + + + sda_oe + Output + 1 + sda_oe + + + scl_oe + Output + 1 + scl_oe + + + @@ -1463,7 +5278,7 @@ the requested settings for a module instance. --> true true - + @@ -1524,7 +5339,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -1569,7 +5384,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -1946,7 +5761,7 @@ parameters are a RESULT of the module parameters. --> waitrequest - + @@ -2024,10 +5839,2781 @@ parameters are a RESULT of the module parameters. --> + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 4 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 0 + + + embeddedsw.CMacro.HAS_OUT + 1 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + embeddedsw.dts.group + gpio + + + embeddedsw.dts.name + pio + + + embeddedsw.dts.params.altr,gpio-bank-width + 4 + + + embeddedsw.dts.params.resetvalue + 0 + + + embeddedsw.dts.vendor + altr + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + Output + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + true + true + true + + + boolean + false + false + false + true + true + + + long + 0 + false + false + true + true + + + int + 4 + false + true + true + true + + + long + 50000000 + false + true + false + true + CLOCK_RATE + clk + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + out_port + Output + 4 + export + + + + + + + embeddedsw.CMacro.BURST_ENABLE + 1 + + + embeddedsw.CMacro.BURST_WRAPPING_SUPPORT + 1 + + + embeddedsw.CMacro.CHANNEL_ENABLE + 0 + + + embeddedsw.CMacro.CHANNEL_ENABLE_DERIVED + 0 + + + embeddedsw.CMacro.CHANNEL_WIDTH + 8 + + + embeddedsw.CMacro.DATA_FIFO_DEPTH + 32 + + + embeddedsw.CMacro.DATA_WIDTH + 32 + + + embeddedsw.CMacro.DESCRIPTOR_FIFO_DEPTH + 128 + + + embeddedsw.CMacro.DMA_MODE + 0 + + + embeddedsw.CMacro.ENHANCED_FEATURES + 0 + + + embeddedsw.CMacro.ERROR_ENABLE + 0 + + + embeddedsw.CMacro.ERROR_ENABLE_DERIVED + 0 + + + embeddedsw.CMacro.ERROR_WIDTH + 8 + + + embeddedsw.CMacro.MAX_BURST_COUNT + 2 + + + embeddedsw.CMacro.MAX_BYTE + 1024 + + + embeddedsw.CMacro.MAX_STRIDE + 1 + + + embeddedsw.CMacro.PACKET_ENABLE + 0 + + + embeddedsw.CMacro.PACKET_ENABLE_DERIVED + 0 + + + embeddedsw.CMacro.PREFETCHER_ENABLE + 0 + + + embeddedsw.CMacro.PROGRAMMABLE_BURST_ENABLE + 0 + + + embeddedsw.CMacro.RESPONSE_PORT + 2 + + + embeddedsw.CMacro.STRIDE_ENABLE + 0 + + + embeddedsw.CMacro.STRIDE_ENABLE_DERIVED + 0 + + + embeddedsw.CMacro.TRANSFER_TYPE + Aligned Accesses + + + embeddedsw.dts.compatible + altr,msgdma-1.0 + + + embeddedsw.dts.group + msgdma + + + embeddedsw.dts.name + msgdma + + + embeddedsw.dts.vendor + altr + + + int + 0 + false + true + true + true + + + int + 32 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 32 + false + false + true + true + + + int + 0 + false + true + true + true + + + int + 32 + false + true + true + true + + + int + 128 + false + true + true + true + + + int + 2 + false + true + true + true + + + int + 1024 + false + true + true + true + + + java.lang.String + Aligned Accesses + false + true + true + true + + + int + 1 + false + true + true + true + + + int + 2 + false + true + true + true + + + int + 1 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 0 + true + true + false + true + + + int + 0 + false + false + true + true + + + int + 0 + true + true + false + true + + + int + 0 + false + false + true + true + + + int + 0 + true + true + false + true + + + int + 8 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 0 + true + true + false + true + + + int + 8 + false + false + true + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 32 + false + true + true + true + + + int + 2 + false + false + true + true + + + java.lang.String + MAX10FPGA + false + true + false + true + DEVICE_FAMILY + + + java.lang.String + 10M50DAF484C6GES + false + true + false + true + DEVICE + + + java.lang.String + 6 + false + true + false + true + DEVICE_SPEEDGRADE + + + com.altera.entityinterfaces.moduleext.AddressMap + ]]> + false + true + false + true + ADDRESS_MAP + mm_read + + + com.altera.entityinterfaces.moduleext.AddressWidthType + AddressWidth = 23 + false + true + false + true + ADDRESS_WIDTH + mm_read + + + com.altera.entityinterfaces.moduleext.AddressMap + ]]> + false + true + false + true + ADDRESS_MAP + mm_write + + + com.altera.entityinterfaces.moduleext.AddressWidthType + AddressWidth = 23 + false + true + false + true + ADDRESS_WIDTH + mm_write + + + com.altera.entityinterfaces.moduleext.AddressMap + + false + true + false + true + ADDRESS_MAP + descriptor_read_master + + + com.altera.entityinterfaces.moduleext.AddressWidthType + AddressWidth = -1 + false + true + false + true + ADDRESS_WIDTH + descriptor_read_master + + + com.altera.entityinterfaces.moduleext.AddressMap + + false + true + false + true + ADDRESS_MAP + descriptor_write_master + + + com.altera.entityinterfaces.moduleext.AddressWidthType + AddressWidth = -1 + false + true + false + true + ADDRESS_WIDTH + descriptor_write_master + + + java.lang.String + MAX 10 + false + true + false + true + DEVICE_FAMILY + + + boolean + false + false + true + true + true + + + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + int + 0 + false + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + SYMBOLS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clock + false + true + true + true + + + java.lang.String + reset_n + false + true + true + true + + + int + 8 + false + true + true + true + + + boolean + true + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 32 + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + true + + mm_read_address + Output + 23 + address + + + mm_read_read + Output + 1 + read + + + mm_read_byteenable + Output + 4 + byteenable + + + mm_read_readdata + Input + 32 + readdata + + + mm_read_waitrequest + Input + 1 + waitrequest + + + mm_read_readdatavalid + Input + 1 + readdatavalid + + + mm_read_burstcount + Output + 2 + burstcount + + + false + onchip_memory2_0 + s1 + onchip_memory2_0.s1 + 4194304 + 131072 + + + + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + int + 0 + false + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + SYMBOLS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clock + false + true + true + true + + + java.lang.String + reset_n + false + true + true + true + + + int + 8 + false + true + true + true + + + boolean + true + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 32 + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + true + + mm_write_address + Output + 23 + address + + + mm_write_write + Output + 1 + write + + + mm_write_byteenable + Output + 4 + byteenable + + + mm_write_writedata + Output + 32 + writedata + + + mm_write_waitrequest + Input + 1 + waitrequest + + + mm_write_burstcount + Output + 2 + burstcount + + + false + onchip_memory2_0 + s1 + onchip_memory2_0.s1 + 4194304 + 131072 + + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + false + + clock_clk + Input + 1 + clk + + + + + + java.lang.String + clock + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n_reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 32 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clock + false + true + true + true + + + java.lang.String + reset_n + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + 0 + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 1 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + csr_writedata + Input + 32 + writedata + + + csr_write + Input + 1 + write + + + csr_byteenable + Input + 4 + byteenable + + + csr_readdata + Output + 32 + readdata + + + csr_read + Input + 1 + read + + + csr_address + Input + 3 + address + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 16 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clock + false + true + true + true + + + java.lang.String + reset_n + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + 0 + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + descriptor_slave_write + Input + 1 + write + + + descriptor_slave_waitrequest + Output + 1 + waitrequest + + + descriptor_slave_writedata + Input + 128 + writedata + + + descriptor_slave_byteenable + Input + 16 + byteenable + + + + + + com.altera.entityinterfaces.IConnectionPoint + msgdma_0.csr + false + true + true + true + + + java.lang.String + clock + false + true + false + true + + + java.lang.String + reset_n + false + true + false + true + + + java.lang.Integer + 0 + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + true + true + + + com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme + NONE + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + interrupt + false + + csr_irq_irq + Output + 1 + irq + + + @@ -2065,7 +8651,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.DATA_ADDR_WIDTH - 23 + 28 embeddedsw.CMacro.DCACHE_BYPASS_MASK @@ -2153,7 +8739,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.INST_ADDR_WIDTH - 23 + 28 embeddedsw.CMacro.NUM_OF_SHADOW_REG_SETS @@ -2351,6 +8937,14 @@ the requested settings for a module instance. --> false true + + boolean + false + false + true + false + true + boolean false @@ -3513,7 +10107,7 @@ the requested settings for a module instance. --> int - 23 + 28 false true false @@ -3533,7 +10127,7 @@ the requested settings for a module instance. --> int - 23 + 28 false true false @@ -3643,7 +10237,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -3663,7 +10257,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -3792,7 +10386,7 @@ the requested settings for a module instance. --> long - 7 + 127 false true false @@ -3842,7 +10436,7 @@ the requested settings for a module instance. --> java.lang.String - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 false true false @@ -3869,7 +10463,7 @@ the requested settings for a module instance. --> java.lang.Integer - 1 + 2 false true false @@ -3879,7 +10473,7 @@ the requested settings for a module instance. --> java.lang.Integer - 1 + 2 false true false @@ -3903,7 +10497,7 @@ the requested settings for a module instance. --> true true - + @@ -3964,7 +10558,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -4015,7 +10609,7 @@ parameters are a RESULT of the module parameters. --> reset_req - + @@ -4284,7 +10878,7 @@ parameters are a RESULT of the module parameters. --> d_address Output - 23 + 28 address @@ -4348,9 +10942,49 @@ parameters are a RESULT of the module parameters. --> a_16550_uart_0 avalon_slave a_16550_uart_0.avalon_slave - 4456448 + 1048576 512 + + false + ext_flash + avl_csr + ext_flash.avl_csr + 1049152 + 64 + + + false + ext_flash + avl_mem + ext_flash.avl_mem + 134217728 + 67108864 + + + false + sysid + control_slave + sysid.control_slave + 1049344 + 8 + + + false + msgdma_0 + csr + msgdma_0.csr + 1049280 + 32 + + + false + i2c_0 + csr + i2c_0.csr + 1049088 + 64 + false onchip_flash_0 @@ -4375,24 +11009,48 @@ parameters are a RESULT of the module parameters. --> 2099200 2048 + + false + msgdma_0 + descriptor_slave + msgdma_0.descriptor_slave + 1049328 + 16 + false onchip_memory2_0 s1 onchip_memory2_0.s1 4194304 - 167936 + 131072 false timer_0 s1 timer_0.s1 - 4456960 + 1049248 + 32 + + + false + led + s1 + led.s1 + 1049312 + 16 + + + false + spi_0 + spi_control_port + spi_0.spi_control_port + 1049216 32 - + @@ -4657,7 +11315,7 @@ parameters are a RESULT of the module parameters. --> i_address Output - 23 + 28 address @@ -4684,6 +11342,14 @@ parameters are a RESULT of the module parameters. --> 1 readdatavalid + + false + ext_flash + avl_mem + ext_flash.avl_mem + 134217728 + 67108864 + false onchip_flash_0 @@ -4706,10 +11372,10 @@ parameters are a RESULT of the module parameters. --> s1 onchip_memory2_0.s1 4194304 - 167936 + 131072 - + @@ -4777,6 +11443,27 @@ parameters are a RESULT of the module parameters. --> 32 irq + + false + msgdma_0 + csr_irq + msgdma_0.csr_irq + 3 + + + false + i2c_0 + interrupt_sender + i2c_0.interrupt_sender + 4 + + + false + ext_flash + interrupt_sender + ext_flash.interrupt_sender + 6 + false jtag_uart_0 @@ -4791,6 +11478,13 @@ parameters are a RESULT of the module parameters. --> timer_0.irq 2 + + false + spi_0 + irq + spi_0.irq + 5 + false a_16550_uart_0 @@ -4799,7 +11493,7 @@ parameters are a RESULT of the module parameters. --> 1 - + @@ -4860,7 +11554,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -5238,7 +11932,7 @@ parameters are a RESULT of the module parameters. --> + version="17.0"> @@ -5327,7 +12021,7 @@ parameters are a RESULT of the module parameters. --> @@ -5948,7 +12642,7 @@ the requested settings for a module instance. --> true true - + @@ -6009,7 +12703,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -6054,7 +12748,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -6437,7 +13131,7 @@ parameters are a RESULT of the module parameters. --> burstcount - + @@ -6806,7 +13500,7 @@ parameters are a RESULT of the module parameters. --> @@ -6864,7 +13558,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.SIZE_VALUE - 167936 + 131072 embeddedsw.CMacro.WRITABLE @@ -6954,6 +13648,14 @@ the requested settings for a module instance. --> true true + + boolean + false + true + true + false + true + boolean false @@ -6970,6 +13672,14 @@ the requested settings for a module instance. --> true true + + boolean + false + false + false + true + true + java.lang.String NONE @@ -6980,7 +13690,7 @@ the requested settings for a module instance. --> long - 167936 + 131072 false true true @@ -7018,6 +13728,14 @@ the requested settings for a module instance. --> true true + + boolean + false + true + true + false + true + int 1 @@ -7102,7 +13820,7 @@ the requested settings for a module instance. --> java.lang.String - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 false true false @@ -7111,7 +13829,7 @@ the requested settings for a module instance. --> int - 16 + 15 true true false @@ -7119,7 +13837,7 @@ the requested settings for a module instance. --> int - 16 + 15 true true false @@ -7173,7 +13891,7 @@ the requested settings for a module instance. --> true true - + @@ -7218,7 +13936,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -7256,7 +13974,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 167936 + 131072 true true false @@ -7344,7 +14062,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 167936 + 131072 false true true @@ -7555,7 +14273,7 @@ parameters are a RESULT of the module parameters. --> address Input - 16 + 15 address @@ -7595,7 +14313,7 @@ parameters are a RESULT of the module parameters. --> byteenable - + @@ -7647,10 +14365,1384 @@ parameters are a RESULT of the module parameters. --> + + + + embeddedsw.CMacro.CLOCKMULT + 1 + + + embeddedsw.CMacro.CLOCKPHASE + 1 + + + embeddedsw.CMacro.CLOCKPOLARITY + 0 + + + embeddedsw.CMacro.CLOCKUNITS + "Hz" + + + embeddedsw.CMacro.DATABITS + 8 + + + embeddedsw.CMacro.DATAWIDTH + 16 + + + embeddedsw.CMacro.DELAYMULT + "1.0E-9" + + + embeddedsw.CMacro.DELAYUNITS + "ns" + + + embeddedsw.CMacro.EXTRADELAY + 0 + + + embeddedsw.CMacro.INSERT_SYNC + 0 + + + embeddedsw.CMacro.ISMASTER + 1 + + + embeddedsw.CMacro.LSBFIRST + 0 + + + embeddedsw.CMacro.NUMSLAVES + 1 + + + embeddedsw.CMacro.PREFIX + "spi_" + + + embeddedsw.CMacro.SYNC_REG_DEPTH + 2 + + + embeddedsw.CMacro.TARGETCLOCK + 128000u + + + embeddedsw.CMacro.TARGETSSDELAY + "0.0" + + + embeddedsw.dts.compatible + altr,spi-1.0 + + + embeddedsw.dts.group + spi + + + embeddedsw.dts.name + spi + + + embeddedsw.dts.vendor + altr + + + int + 1 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 8 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + true + false + true + true + true + + + int + 1 + false + true + true + true + + + int + 2 + false + false + true + true + + + long + 128000 + false + true + true + true + + + double + 0.0 + false + false + true + true + + + java.lang.String + 2.0 + false + true + false + true + AVALON_SPEC + + + long + 50000000 + false + true + false + true + CLOCK_RATE + clk + + + double + 127551.0 + true + true + true + true + + + double + 0.0 + true + false + true + true + + + boolean + false + true + true + false + true + + + int + 16 + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 8 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + data_from_cpu + Input + 16 + writedata + + + data_to_cpu + Output + 16 + readdata + + + mem_addr + Input + 3 + address + + + read_n + Input + 1 + read_n + + + spi_select + Input + 1 + chipselect + + + write_n + Input + 1 + write_n + + + + + + com.altera.entityinterfaces.IConnectionPoint + spi_0.spi_control_port + false + true + true + true + + + java.lang.String + clk + false + true + false + true + + + java.lang.String + reset + false + true + false + true + + + java.lang.Integer + + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + true + true + + + com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme + NONE + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + interrupt + false + + irq + Output + 1 + irq + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + MISO + Input + 1 + export + + + MOSI + Output + 1 + export + + + SCLK + Output + 1 + export + + + SS_n + Output + 1 + export + + + + + + + embeddedsw.CMacro.ID + 0 + + + embeddedsw.CMacro.TIMESTAMP + 1512455752 + + + embeddedsw.dts.compatible + altr,sysid-1.0 + + + embeddedsw.dts.group + sysid + + + embeddedsw.dts.name + sysid + + + embeddedsw.dts.params.id + 0 + + + embeddedsw.dts.params.timestamp + 1512455752 + + + embeddedsw.dts.vendor + altr + + + int + 0 + false + true + true + true + + + int + 1512455752 + true + false + false + true + GENERATION_ID + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + false + + clock + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isMemoryDevice + false + + + embeddedsw.configuration.isNonVolatileStorage + false + + + embeddedsw.configuration.isPrintableDevice + false + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 8 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + readdata + Output + 32 + readdata + + + address + Input + 1 + address + + + @@ -7876,7 +15968,7 @@ the requested settings for a module instance. --> true true - + @@ -7937,7 +16029,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -7982,7 +16074,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -8351,7 +16443,7 @@ parameters are a RESULT of the module parameters. --> write_n - + @@ -8432,7 +16524,7 @@ parameters are a RESULT of the module parameters. --> @@ -8483,7 +16575,7 @@ parameters are a RESULT of the module parameters. --> @@ -8496,7 +16588,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00440000 + 0x00100000 false true true @@ -8531,10 +16623,265 @@ parameters are a RESULT of the module parameters. --> a_16550_uart_0 avalon_slave + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00100240 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2_0 + data_master + ext_flash + avl_csr + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x08000000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2_0 + data_master + ext_flash + avl_mem + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00100300 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2_0 + data_master + sysid + control_slave + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x001002c0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2_0 + data_master + msgdma_0 + csr + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00100200 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2_0 + data_master + i2c_0 + csr + @@ -8585,7 +16932,7 @@ parameters are a RESULT of the module parameters. --> @@ -8636,7 +16983,7 @@ parameters are a RESULT of the module parameters. --> @@ -8684,10 +17031,61 @@ parameters are a RESULT of the module parameters. --> nios2_gen2_0 debug_mem_slave + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x001002f0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2_0 + data_master + msgdma_0 + descriptor_slave + @@ -8738,7 +17136,7 @@ parameters are a RESULT of the module parameters. --> @@ -8751,7 +17149,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00440200 + 0x001002a0 false true true @@ -8786,10 +17184,163 @@ parameters are a RESULT of the module parameters. --> timer_0 s1 + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x001002e0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2_0 + data_master + led + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00100280 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2_0 + data_master + spi_0 + spi_control_port + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x08000000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2_0 + instruction_master + ext_flash + avl_mem + @@ -8840,7 +17391,7 @@ parameters are a RESULT of the module parameters. --> @@ -8891,7 +17442,7 @@ parameters are a RESULT of the module parameters. --> @@ -8940,11 +17491,35 @@ parameters are a RESULT of the module parameters. --> s1 + name="msgdma_0.mm_read/onchip_memory2_0.s1" + kind="avalon" + version="17.0" + start="msgdma_0.mm_read" + end="onchip_memory2_0.s1"> + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00400000 + false + true + true + true + + + boolean + false + false + true + true + true + java.lang.String UNKNOWN @@ -8961,15 +17536,93 @@ parameters are a RESULT of the module parameters. --> true true - clk_0 - clk - onchip_flash_0 - clk + msgdma_0 + mm_read + onchip_memory2_0 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00400000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + msgdma_0 + mm_write + onchip_memory2_0 + s1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + altpll_0 + c0 + ext_flash + clock_sink @@ -8996,7 +17649,7 @@ parameters are a RESULT of the module parameters. --> @@ -9023,7 +17676,7 @@ parameters are a RESULT of the module parameters. --> @@ -9047,10 +17700,118 @@ parameters are a RESULT of the module parameters. --> timer_0 clk + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + spi_0 + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + led + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + sysid + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + onchip_flash_0 + clk + @@ -9077,7 +17838,7 @@ parameters are a RESULT of the module parameters. --> @@ -9101,10 +17862,196 @@ parameters are a RESULT of the module parameters. --> a_16550_uart_0 clock + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + msgdma_0 + clock + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + i2c_0 + clock + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + altpll_0 + inclk_interface + + + + int + 3 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2_0 + irq + msgdma_0 + csr_irq + + + + int + 4 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2_0 + irq + i2c_0 + interrupt_sender + + + + int + 6 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2_0 + irq + ext_flash + interrupt_sender + @@ -9139,7 +18086,7 @@ parameters are a RESULT of the module parameters. --> @@ -9171,10 +18118,45 @@ parameters are a RESULT of the module parameters. --> timer_0 irq + + + int + 5 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2_0 + irq + spi_0 + irq + @@ -9206,10 +18188,37 @@ parameters are a RESULT of the module parameters. --> a_16550_uart_0 irq_sender + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + altpll_0 + inclk_interface_reset + @@ -9236,7 +18245,7 @@ parameters are a RESULT of the module parameters. --> @@ -9263,7 +18272,7 @@ parameters are a RESULT of the module parameters. --> @@ -9290,7 +18299,7 @@ parameters are a RESULT of the module parameters. --> @@ -9314,10 +18323,118 @@ parameters are a RESULT of the module parameters. --> timer_0 reset + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + spi_0 + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + ext_flash + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + led + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + sysid + reset + @@ -9341,10 +18458,37 @@ parameters are a RESULT of the module parameters. --> onchip_memory2_0 reset1 + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + msgdma_0 + reset_n + @@ -9369,11 +18513,11 @@ parameters are a RESULT of the module parameters. --> reset_sink + version="17.0" + start="clk_0.clk_reset" + end="i2c_0.reset_sink"> java.lang.String UNKNOWN @@ -9390,15 +18534,15 @@ parameters are a RESULT of the module parameters. --> true true - nios2_gen2_0 - debug_reset_request - onchip_flash_0 - nreset + clk_0 + clk_reset + i2c_0 + reset_sink @@ -9425,7 +18569,7 @@ parameters are a RESULT of the module parameters. --> @@ -9449,10 +18593,91 @@ parameters are a RESULT of the module parameters. --> jtag_uart_0 reset + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2_0 + debug_reset_request + spi_0 + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2_0 + debug_reset_request + ext_flash + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_gen2_0 + debug_reset_request + led + reset + @@ -9477,11 +18702,11 @@ parameters are a RESULT of the module parameters. --> reset1 + end="msgdma_0.reset_n"> java.lang.String UNKNOWN @@ -9500,8 +18725,8 @@ parameters are a RESULT of the module parameters. --> nios2_gen2_0 debug_reset_request - a_16550_uart_0 - reset_sink + msgdma_0 + reset_n 1 @@ -9509,71 +18734,55 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Altera 16550 Compatible UART - 16.0 + 17.0 - 7 + 16 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Slave - 16.0 + 17.0 - 6 + 13 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input - 16.0 + 17.0 - 6 + 13 reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Input - 16.0 + 17.0 - 3 + 7 interrupt_sender com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Sender - 16.0 + 17.0 - 2 + 6 conduit_end com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Conduit - 16.0 + 17.0 1 - clock_source + altpll com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Clock Source - 16.0 - - - 1 - clock_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Clock Input - 16.0 - - - 1 - reset_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Reset Input - 16.0 + Avalon ALTPLL + 17.0 1 @@ -9581,7 +18790,39 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Output - 16.0 + 17.0 + + + 1 + clock_source + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Clock Source + 17.0 + + + 1 + clock_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Input + 17.0 + + + 1 + reset_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Reset Input + 17.0 + + + 1 + clock_source + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Output + 17.0 1 @@ -9589,7 +18830,23 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output - 16.0 + 17.0 + + + 1 + altera_generic_quad_spi_controller2 + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Altera Generic QUAD SPI controller II + 17.0 + + + 1 + altera_avalon_i2c + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Altera Avalon I2C (Master) + 17.0 1 @@ -9597,7 +18854,31 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule JTAG UART - 16.0 + 17.0 + + + 1 + altera_avalon_pio + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + PIO (Parallel I/O) + 17.0 + + + 1 + altera_msgdma + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Modular Scatter-Gather DMA + 17.0 + + + 4 + avalon_master + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Memory Mapped Master + 17.0 1 @@ -9605,15 +18886,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Nios II Processor - 16.0 - - - 2 - avalon_master - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Master - 16.0 + 17.0 1 @@ -9621,7 +18894,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Receiver - 16.0 + 17.0 1 @@ -9629,7 +18902,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output - 16.0 + 17.0 1 @@ -9637,7 +18910,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Custom Instruction Master - 16.0 + 17.0 1 @@ -9645,7 +18918,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Altera On-Chip Flash - 16.0 + 17.0 1 @@ -9653,7 +18926,23 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule On-Chip Memory (RAM or ROM) - 16.0 + 17.0 + + + 1 + altera_avalon_spi + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + SPI (3 Wire Serial) + 17.0 + + + 1 + altera_avalon_sysid_qsys + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + System ID Peripheral + 17.0 1 @@ -9661,40 +18950,40 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Interval Timer - 16.0 + 17.0 - 10 + 21 avalon com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Avalon Memory Mapped Connection - 16.0 + 17.0 - 6 + 13 clock com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Clock Connection - 16.0 + 17.0 - 3 + 7 interrupt com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Interrupt Connection - 16.0 + 17.0 - 11 + 20 reset com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Reset Connection - 16.0 + 17.0 - 16.0 211 + 17.0 595 diff --git a/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da_top.v b/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da_top.v index 5147a9a6f25..846659543a4 100644 --- a/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da_top.v +++ b/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_10m50da_top.v @@ -1,11 +1,36 @@ module ghrd_10m50da_top ( //Clock and Reset input wire clk_50, + //input wire clk_ddr3_100_p, input wire fpga_reset_n, //QSPI -// output wire qspi_clk, -// inout wire[3:0] qspi_io, -// output wire qspi_csn, + output wire qspi_clk, + inout wire[3:0] qspi_io, + output wire qspi_csn, + //ddr3 + //output wire [13:0] mem_a, + //output wire [2:0] mem_ba, + //inout wire [0:0] mem_ck, + //inout wire [0:0] mem_ck_n, + //output wire [0:0] mem_cke, + //output wire [0:0] mem_cs_n, + //output wire [0:0] mem_dm, + //output wire [0:0] mem_ras_n, + //output wire [0:0] mem_cas_n, + //output wire [0:0] mem_we_n, + //output wire mem_reset_n, + ///inout wire [7:0] mem_dq, + //inout wire [0:0] mem_dqs, + //inout wire [0:0] mem_dqs_n, + //output wire [0:0] mem_odt, + //i2c + inout wire i2c_sda, + inout wire i2c_scl, + //spi + input wire spi_miso, + output wire spi_mosi, + output wire spi_sclk, + output wire spi_ssn, //16550 UART input wire uart_rx, output wire uart_tx, @@ -14,20 +39,72 @@ module ghrd_10m50da_top ( //Heart-beat counter reg [25:0] heart_beat_cnt; +//DDR3 interface assignments +//wire local_init_done; +//wire local_cal_success; +//wire local_cal_fail; + +//i2c interface +wire i2c_serial_sda_in ; +wire i2c_serial_scl_in ; +wire i2c_serial_sda_oe ; +wire i2c_serial_scl_oe ; +assign i2c_serial_scl_in = i2c_scl; +assign i2c_scl = i2c_serial_scl_oe ? 1'b0 : 1'bz; + +assign i2c_serial_sda_in = i2c_sda; +assign i2c_sda = i2c_serial_sda_oe ? 1'b0 : 1'bz; + +//assign system_resetn = fpga_reset_n & local_init_done; + // SoC sub-system module ghrd_10m50da ghrd_10m50da_inst ( - .clk_clk (clk_50), - .reset_reset_n (fpga_reset_n), -// .ext_flash_flash_dataout_conduit_dataout (qspi_io), -// .ext_flash_flash_dclk_out_conduit_dclk_out (qspi_clk), -// .ext_flash_flash_ncs_conduit_ncs (qspi_csn), + .clk_clk (clk_50), + //.ref_clock_bridge_in_clk_clk (clk_ddr3_100_p), + .reset_reset_n (fpga_reset_n), + //.mem_resetn_in_reset_reset_n (fpga_reset_n ), // mem_resetn_in_reset.reset_n + .ext_flash_qspi_pins_data (qspi_io), + .ext_flash_qspi_pins_dclk (qspi_clk), + .ext_flash_qspi_pins_ncs (qspi_csn), + //.memory_mem_a (mem_a[12:0] ), // memory.mem_a + //.memory_mem_ba (mem_ba ), // .mem_ba + //.memory_mem_ck (mem_ck ), // .mem_ck + //.memory_mem_ck_n (mem_ck_n ), // .mem_ck_n + //.memory_mem_cke (mem_cke ), // .mem_cke + //.memory_mem_cs_n (mem_cs_n ), // .mem_cs_n + //.memory_mem_dm (mem_dm ), // .mem_dm + //.memory_mem_ras_n (mem_ras_n ), // .mem_ras_n + //.memory_mem_cas_n (mem_cas_n ), // .mem_cas_n + //.memory_mem_we_n (mem_we_n ), // .mem_we_n + //.memory_mem_reset_n (mem_reset_n ), // .mem_reset_n + //.memory_mem_dq (mem_dq ), // .mem_dq + //.memory_mem_dqs (mem_dqs ), // .mem_dqs + //.memory_mem_dqs_n (mem_dqs_n ), // .mem_dqs_n + //.memory_mem_odt (mem_odt ), // .mem_odt + //.mem_if_ddr3_emif_0_status_local_init_done (local_init_done ), // mem_if_ddr3_emif_0_status.local_init_done + //.mem_if_ddr3_emif_0_status_local_cal_success (local_cal_success ), // .local_cal_success + //.mem_if_ddr3_emif_0_status_local_cal_fail (local_cal_fail ), // .local_cal_fail + //i2c + .i2c_0_i2c_serial_sda_in (i2c_serial_sda_in), + .i2c_0_i2c_serial_scl_in (i2c_serial_scl_in), + .i2c_0_i2c_serial_sda_oe (i2c_serial_sda_oe), + .i2c_0_i2c_serial_scl_oe (i2c_serial_scl_oe), + //spi + .spi_0_external_MISO (spi_miso), // spi_0_external.MISO + .spi_0_external_MOSI (spi_mosi), // .MOSI + .spi_0_external_SCLK (spi_sclk), // .SCLK + .spi_0_external_SS_n (spi_ssn), // .SS_n + //pio + .led_external_connection_export (user_led[3:0]), //16550 UART .a_16550_uart_0_rs_232_serial_sin (uart_rx), // a_16550_uart_0_rs_232_serial.sin .a_16550_uart_0_rs_232_serial_sout (uart_tx), // .sout .a_16550_uart_0_rs_232_serial_sout_oe () // .sout_oe -); +); +//DDR3 Address Bit #13 is not available for DDR3 SDRAM A (64Mx16) +//assign mem_a[13] = 1'b0; //Heart beat by 50MHz clock always @(posedge clk_50 or negedge fpga_reset_n) @@ -36,7 +113,7 @@ always @(posedge clk_50 or negedge fpga_reset_n) else heart_beat_cnt <= heart_beat_cnt + 1'b1; -assign user_led = {4'hf,heart_beat_cnt[25]}; +assign user_led[4] = heart_beat_cnt[25]; endmodule diff --git a/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_timing.sdc b/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_timing.sdc index d44f39d0146..5f962e7c58e 100644 --- a/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_timing.sdc +++ b/arch/nios2/soc/nios2f-zephyr/cpu/ghrd_timing.sdc @@ -10,11 +10,19 @@ set_input_delay -clock altera_reserved_tck -clock_fall -max 5 [get_ports altera_ set_input_delay -clock altera_reserved_tck -clock_fall -max 5 [get_ports altera_reserved_tms] set_output_delay -clock altera_reserved_tck 5 [get_ports altera_reserved_tdo] -create_clock -name {CLK_50} -period 20.000 {clk_50} +create_clock -name {clk_50} -period 20.000 {clk_50} set_false_path -to [get_ports {user_led[*]}] set_false_path -to [get_ports {fpga_reset_n}] set_false_path -from [get_ports {fpga_reset_n}] +derive_clock_uncertainty + +# QSPI interface +set_output_delay -clock {clk_50 } -rise -min 11 [get_ports {qspi_io[*]}] +set_output_delay -clock {clk_50 } -rise -min 11 [get_ports {qspi_clk}] +set_output_delay -clock {clk_50 } -rise -min 11 [get_ports {qspi_csn}] +set_input_delay -clock {clk_50 } -rise -min 10 [get_ports {qspi_io[*]}] + # UART set_false_path -from * -to [get_ports {uart_tx}] diff --git a/arch/nios2/soc/nios2f-zephyr/cpu/stp1.stp b/arch/nios2/soc/nios2f-zephyr/cpu/stp1.stp deleted file mode 100644 index 38403b9b967..00000000000 --- a/arch/nios2/soc/nios2f-zephyr/cpu/stp1.stp +++ /dev/null @@ -1,1045 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[0]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[10]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[11]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[12]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[13]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[14]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[15]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[16]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[17]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[18]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[19]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[1]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[20]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[21]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[22]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[2]' == high && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[3]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[4]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[5]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[6]' == high && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[7]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[8]' == low && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_address[9]' == high && 'ghrd_10m50da:ghrd_10m50da_inst|ghrd_10m50da_nios2_gen2_0:nios2_gen2_0|i_read' == rising edge - - - - - - - 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/arch/nios2/soc/nios2f-zephyr/include/linker.h b/arch/nios2/soc/nios2f-zephyr/include/linker.h index 164bf8873d3..3a3c5617945 100644 --- a/arch/nios2/soc/nios2f-zephyr/include/linker.h +++ b/arch/nios2/soc/nios2f-zephyr/include/linker.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_gen2_0' in SOPC Builder design 'ghrd_10m50da' * SOPC Builder design path: ../../ghrd_10m50da.sopcinfo * - * Generated: Wed Jun 08 19:21:55 MYT 2016 + * Generated: Tue Dec 05 14:42:02 SGT 2017 */ /* @@ -65,12 +65,14 @@ * */ +#define EXT_FLASH_AVL_MEM_REGION_BASE 0x8000000 +#define EXT_FLASH_AVL_MEM_REGION_SPAN 67108864 #define ONCHIP_FLASH_0_DATA_REGION_BASE 0x20 #define ONCHIP_FLASH_0_DATA_REGION_SPAN 753632 #define ONCHIP_MEMORY2_0_BEFORE_EXCEPTION_REGION_BASE 0x400000 #define ONCHIP_MEMORY2_0_BEFORE_EXCEPTION_REGION_SPAN 32 #define ONCHIP_MEMORY2_0_REGION_BASE 0x400020 -#define ONCHIP_MEMORY2_0_REGION_SPAN 167904 +#define ONCHIP_MEMORY2_0_REGION_SPAN 131040 #define RESET_REGION_BASE 0x0 #define RESET_REGION_SPAN 32 diff --git a/arch/nios2/soc/nios2f-zephyr/include/system.h b/arch/nios2/soc/nios2f-zephyr/include/system.h index 8fcd98a3b0d..02f83fcf0fb 100644 --- a/arch/nios2/soc/nios2f-zephyr/include/system.h +++ b/arch/nios2/soc/nios2f-zephyr/include/system.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_gen2_0' in SOPC Builder design 'ghrd_10m50da' * SOPC Builder design path: ../../ghrd_10m50da.sopcinfo * - * Generated: Wed Jun 08 19:18:29 MYT 2016 + * Generated: Tue Dec 05 14:41:17 SGT 2017 */ /* @@ -68,7 +68,7 @@ #define ALT_CPU_CPU_ID_SIZE 1 #define ALT_CPU_CPU_ID_VALUE 0x00000000 #define ALT_CPU_CPU_IMPLEMENTATION "fast" -#define ALT_CPU_DATA_ADDR_WIDTH 0x17 +#define ALT_CPU_DATA_ADDR_WIDTH 0x1c #define ALT_CPU_DCACHE_BYPASS_MASK 0x80000000 #define ALT_CPU_DCACHE_LINE_SIZE 32 #define ALT_CPU_DCACHE_LINE_SIZE_LOG2 5 @@ -91,7 +91,7 @@ #define ALT_CPU_ICACHE_LINE_SIZE_LOG2 5 #define ALT_CPU_ICACHE_SIZE 4096 #define ALT_CPU_INITDA_SUPPORTED -#define ALT_CPU_INST_ADDR_WIDTH 0x17 +#define ALT_CPU_INST_ADDR_WIDTH 0x1c #define ALT_CPU_NAME "nios2_gen2_0" #define ALT_CPU_NUM_OF_SHADOW_REG_SETS 0 #define ALT_CPU_OCI_VERSION 1 @@ -110,7 +110,7 @@ #define NIOS2_CPU_ID_SIZE 1 #define NIOS2_CPU_ID_VALUE 0x00000000 #define NIOS2_CPU_IMPLEMENTATION "fast" -#define NIOS2_DATA_ADDR_WIDTH 0x17 +#define NIOS2_DATA_ADDR_WIDTH 0x1c #define NIOS2_DCACHE_BYPASS_MASK 0x80000000 #define NIOS2_DCACHE_LINE_SIZE 32 #define NIOS2_DCACHE_LINE_SIZE_LOG2 5 @@ -132,7 +132,7 @@ #define NIOS2_ICACHE_LINE_SIZE_LOG2 5 #define NIOS2_ICACHE_SIZE 4096 #define NIOS2_INITDA_SUPPORTED -#define NIOS2_INST_ADDR_WIDTH 0x17 +#define NIOS2_INST_ADDR_WIDTH 0x1c #define NIOS2_NUM_OF_SHADOW_REG_SETS 0 #define NIOS2_OCI_VERSION 1 #define NIOS2_RESET_ADDR 0x00000000 @@ -144,9 +144,15 @@ */ #define __ALTERA_16550_UART +#define __ALTERA_AVALON_I2C #define __ALTERA_AVALON_JTAG_UART #define __ALTERA_AVALON_ONCHIP_MEMORY2 +#define __ALTERA_AVALON_PIO +#define __ALTERA_AVALON_SPI +#define __ALTERA_AVALON_SYSID_QSYS #define __ALTERA_AVALON_TIMER +#define __ALTERA_GENERIC_QUAD_SPI_CONTROLLER2 +#define __ALTERA_MSGDMA #define __ALTERA_NIOS2_GEN2 #define __ALTERA_ONCHIP_FLASH @@ -193,7 +199,7 @@ */ #define ALT_MODULE_CLASS_a_16550_uart_0 altera_16550_uart -#define A_16550_UART_0_BASE 0x440000 +#define A_16550_UART_0_BASE 0x100000 #define A_16550_UART_0_FIFO_DEPTH 64 #define A_16550_UART_0_FIFO_MODE 1 #define A_16550_UART_0_FIO_HWFC 0 @@ -206,6 +212,46 @@ #define A_16550_UART_0_TYPE "altera_16550_uart" +/* + * ext_flash_avl_csr configuration + * + */ + +#define ALT_MODULE_CLASS_ext_flash_avl_csr altera_generic_quad_spi_controller2 +#define EXT_FLASH_AVL_CSR_BASE 0x100240 +#define EXT_FLASH_AVL_CSR_FLASH_TYPE "Micron512" +#define EXT_FLASH_AVL_CSR_IRQ 6 +#define EXT_FLASH_AVL_CSR_IRQ_INTERRUPT_CONTROLLER_ID 0 +#define EXT_FLASH_AVL_CSR_IS_EPCS 0 +#define EXT_FLASH_AVL_CSR_NAME "/dev/ext_flash_avl_csr" +#define EXT_FLASH_AVL_CSR_NUMBER_OF_SECTORS 1024 +#define EXT_FLASH_AVL_CSR_PAGE_SIZE 256 +#define EXT_FLASH_AVL_CSR_SECTOR_SIZE 65536 +#define EXT_FLASH_AVL_CSR_SPAN 64 +#define EXT_FLASH_AVL_CSR_SUBSECTOR_SIZE 4096 +#define EXT_FLASH_AVL_CSR_TYPE "altera_generic_quad_spi_controller2" + + +/* + * ext_flash_avl_mem configuration + * + */ + +#define ALT_MODULE_CLASS_ext_flash_avl_mem altera_generic_quad_spi_controller2 +#define EXT_FLASH_AVL_MEM_BASE 0x8000000 +#define EXT_FLASH_AVL_MEM_FLASH_TYPE "Micron512" +#define EXT_FLASH_AVL_MEM_IRQ -1 +#define EXT_FLASH_AVL_MEM_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define EXT_FLASH_AVL_MEM_IS_EPCS 0 +#define EXT_FLASH_AVL_MEM_NAME "/dev/ext_flash_avl_mem" +#define EXT_FLASH_AVL_MEM_NUMBER_OF_SECTORS 1024 +#define EXT_FLASH_AVL_MEM_PAGE_SIZE 256 +#define EXT_FLASH_AVL_MEM_SECTOR_SIZE 65536 +#define EXT_FLASH_AVL_MEM_SPAN 67108864 +#define EXT_FLASH_AVL_MEM_SUBSECTOR_SIZE 4096 +#define EXT_FLASH_AVL_MEM_TYPE "altera_generic_quad_spi_controller2" + + /* * hal configuration * @@ -217,6 +263,23 @@ #define ALT_TIMESTAMP_CLK none +/* + * i2c_0 configuration + * + */ + +#define ALT_MODULE_CLASS_i2c_0 altera_avalon_i2c +#define I2C_0_BASE 0x100200 +#define I2C_0_FIFO_DEPTH 16 +#define I2C_0_FREQ 50000000 +#define I2C_0_IRQ 4 +#define I2C_0_IRQ_INTERRUPT_CONTROLLER_ID 0 +#define I2C_0_NAME "/dev/i2c_0" +#define I2C_0_SPAN 64 +#define I2C_0_TYPE "altera_avalon_i2c" +#define I2C_0_USE_AV_ST 0 + + /* * jtag_uart_0 configuration * @@ -235,6 +298,109 @@ #define JTAG_UART_0_WRITE_THRESHOLD 8 +/* + * led configuration + * + */ + +#define ALT_MODULE_CLASS_led altera_avalon_pio +#define LED_BASE 0x1002e0 +#define LED_BIT_CLEARING_EDGE_REGISTER 0 +#define LED_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define LED_CAPTURE 0 +#define LED_DATA_WIDTH 4 +#define LED_DO_TEST_BENCH_WIRING 0 +#define LED_DRIVEN_SIM_VALUE 0 +#define LED_EDGE_TYPE "NONE" +#define LED_FREQ 50000000 +#define LED_HAS_IN 0 +#define LED_HAS_OUT 1 +#define LED_HAS_TRI 0 +#define LED_IRQ -1 +#define LED_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define LED_IRQ_TYPE "NONE" +#define LED_NAME "/dev/led" +#define LED_RESET_VALUE 0 +#define LED_SPAN 16 +#define LED_TYPE "altera_avalon_pio" + + +/* + * msgdma_0_csr configuration + * + */ + +#define ALT_MODULE_CLASS_msgdma_0_csr altera_msgdma +#define MSGDMA_0_CSR_BASE 0x1002c0 +#define MSGDMA_0_CSR_BURST_ENABLE 1 +#define MSGDMA_0_CSR_BURST_WRAPPING_SUPPORT 1 +#define MSGDMA_0_CSR_CHANNEL_ENABLE 0 +#define MSGDMA_0_CSR_CHANNEL_ENABLE_DERIVED 0 +#define MSGDMA_0_CSR_CHANNEL_WIDTH 8 +#define MSGDMA_0_CSR_DATA_FIFO_DEPTH 32 +#define MSGDMA_0_CSR_DATA_WIDTH 32 +#define MSGDMA_0_CSR_DESCRIPTOR_FIFO_DEPTH 128 +#define MSGDMA_0_CSR_DMA_MODE 0 +#define MSGDMA_0_CSR_ENHANCED_FEATURES 0 +#define MSGDMA_0_CSR_ERROR_ENABLE 0 +#define MSGDMA_0_CSR_ERROR_ENABLE_DERIVED 0 +#define MSGDMA_0_CSR_ERROR_WIDTH 8 +#define MSGDMA_0_CSR_IRQ 3 +#define MSGDMA_0_CSR_IRQ_INTERRUPT_CONTROLLER_ID 0 +#define MSGDMA_0_CSR_MAX_BURST_COUNT 2 +#define MSGDMA_0_CSR_MAX_BYTE 1024 +#define MSGDMA_0_CSR_MAX_STRIDE 1 +#define MSGDMA_0_CSR_NAME "/dev/msgdma_0_csr" +#define MSGDMA_0_CSR_PACKET_ENABLE 0 +#define MSGDMA_0_CSR_PACKET_ENABLE_DERIVED 0 +#define MSGDMA_0_CSR_PREFETCHER_ENABLE 0 +#define MSGDMA_0_CSR_PROGRAMMABLE_BURST_ENABLE 0 +#define MSGDMA_0_CSR_RESPONSE_PORT 2 +#define MSGDMA_0_CSR_SPAN 32 +#define MSGDMA_0_CSR_STRIDE_ENABLE 0 +#define MSGDMA_0_CSR_STRIDE_ENABLE_DERIVED 0 +#define MSGDMA_0_CSR_TRANSFER_TYPE "Aligned Accesses" +#define MSGDMA_0_CSR_TYPE "altera_msgdma" + + +/* + * msgdma_0_descriptor_slave configuration + * + */ + +#define ALT_MODULE_CLASS_msgdma_0_descriptor_slave altera_msgdma +#define MSGDMA_0_DESCRIPTOR_SLAVE_BASE 0x1002f0 +#define MSGDMA_0_DESCRIPTOR_SLAVE_BURST_ENABLE 1 +#define MSGDMA_0_DESCRIPTOR_SLAVE_BURST_WRAPPING_SUPPORT 1 +#define MSGDMA_0_DESCRIPTOR_SLAVE_CHANNEL_ENABLE 0 +#define MSGDMA_0_DESCRIPTOR_SLAVE_CHANNEL_ENABLE_DERIVED 0 +#define MSGDMA_0_DESCRIPTOR_SLAVE_CHANNEL_WIDTH 8 +#define MSGDMA_0_DESCRIPTOR_SLAVE_DATA_FIFO_DEPTH 32 +#define MSGDMA_0_DESCRIPTOR_SLAVE_DATA_WIDTH 32 +#define MSGDMA_0_DESCRIPTOR_SLAVE_DESCRIPTOR_FIFO_DEPTH 128 +#define MSGDMA_0_DESCRIPTOR_SLAVE_DMA_MODE 0 +#define MSGDMA_0_DESCRIPTOR_SLAVE_ENHANCED_FEATURES 0 +#define MSGDMA_0_DESCRIPTOR_SLAVE_ERROR_ENABLE 0 +#define MSGDMA_0_DESCRIPTOR_SLAVE_ERROR_ENABLE_DERIVED 0 +#define MSGDMA_0_DESCRIPTOR_SLAVE_ERROR_WIDTH 8 +#define MSGDMA_0_DESCRIPTOR_SLAVE_IRQ -1 +#define MSGDMA_0_DESCRIPTOR_SLAVE_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define MSGDMA_0_DESCRIPTOR_SLAVE_MAX_BURST_COUNT 2 +#define MSGDMA_0_DESCRIPTOR_SLAVE_MAX_BYTE 1024 +#define MSGDMA_0_DESCRIPTOR_SLAVE_MAX_STRIDE 1 +#define MSGDMA_0_DESCRIPTOR_SLAVE_NAME "/dev/msgdma_0_descriptor_slave" +#define MSGDMA_0_DESCRIPTOR_SLAVE_PACKET_ENABLE 0 +#define MSGDMA_0_DESCRIPTOR_SLAVE_PACKET_ENABLE_DERIVED 0 +#define MSGDMA_0_DESCRIPTOR_SLAVE_PREFETCHER_ENABLE 0 +#define MSGDMA_0_DESCRIPTOR_SLAVE_PROGRAMMABLE_BURST_ENABLE 0 +#define MSGDMA_0_DESCRIPTOR_SLAVE_RESPONSE_PORT 2 +#define MSGDMA_0_DESCRIPTOR_SLAVE_SPAN 16 +#define MSGDMA_0_DESCRIPTOR_SLAVE_STRIDE_ENABLE 0 +#define MSGDMA_0_DESCRIPTOR_SLAVE_STRIDE_ENABLE_DERIVED 0 +#define MSGDMA_0_DESCRIPTOR_SLAVE_TRANSFER_TYPE "Aligned Accesses" +#define MSGDMA_0_DESCRIPTOR_SLAVE_TYPE "altera_msgdma" + + /* * onchip_flash_0_csr configuration * @@ -320,12 +486,59 @@ #define ONCHIP_MEMORY2_0_READ_DURING_WRITE_MODE "DONT_CARE" #define ONCHIP_MEMORY2_0_SINGLE_CLOCK_OP 0 #define ONCHIP_MEMORY2_0_SIZE_MULTIPLE 1 -#define ONCHIP_MEMORY2_0_SIZE_VALUE 167936 -#define ONCHIP_MEMORY2_0_SPAN 167936 +#define ONCHIP_MEMORY2_0_SIZE_VALUE 131072 +#define ONCHIP_MEMORY2_0_SPAN 131072 #define ONCHIP_MEMORY2_0_TYPE "altera_avalon_onchip_memory2" #define ONCHIP_MEMORY2_0_WRITABLE 1 +/* + * spi_0 configuration + * + */ + +#define ALT_MODULE_CLASS_spi_0 altera_avalon_spi +#define SPI_0_BASE 0x100280 +#define SPI_0_CLOCKMULT 1 +#define SPI_0_CLOCKPHASE 1 +#define SPI_0_CLOCKPOLARITY 0 +#define SPI_0_CLOCKUNITS "Hz" +#define SPI_0_DATABITS 8 +#define SPI_0_DATAWIDTH 16 +#define SPI_0_DELAYMULT "1.0E-9" +#define SPI_0_DELAYUNITS "ns" +#define SPI_0_EXTRADELAY 0 +#define SPI_0_INSERT_SYNC 0 +#define SPI_0_IRQ 5 +#define SPI_0_IRQ_INTERRUPT_CONTROLLER_ID 0 +#define SPI_0_ISMASTER 1 +#define SPI_0_LSBFIRST 0 +#define SPI_0_NAME "/dev/spi_0" +#define SPI_0_NUMSLAVES 1 +#define SPI_0_PREFIX "spi_" +#define SPI_0_SPAN 32 +#define SPI_0_SYNC_REG_DEPTH 2 +#define SPI_0_TARGETCLOCK 128000u +#define SPI_0_TARGETSSDELAY "0.0" +#define SPI_0_TYPE "altera_avalon_spi" + + +/* + * sysid configuration + * + */ + +#define ALT_MODULE_CLASS_sysid altera_avalon_sysid_qsys +#define SYSID_BASE 0x100300 +#define SYSID_ID 0 +#define SYSID_IRQ -1 +#define SYSID_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define SYSID_NAME "/dev/sysid" +#define SYSID_SPAN 8 +#define SYSID_TIMESTAMP 1512455752 +#define SYSID_TYPE "altera_avalon_sysid_qsys" + + /* * timer_0 configuration * @@ -333,7 +546,7 @@ #define ALT_MODULE_CLASS_timer_0 altera_avalon_timer #define TIMER_0_ALWAYS_RUN 0 -#define TIMER_0_BASE 0x440200 +#define TIMER_0_BASE 0x1002a0 #define TIMER_0_COUNTER_SIZE 32 #define TIMER_0_FIXED_PERIOD 0 #define TIMER_0_FREQ 50000000